From 694b3fbb6fcfd706ffdb55528168dc891e0d5243 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 25 Oct 2021 15:33:33 -0500 Subject: [PATCH 1/9] Possible fix for critical path timing in caches. --- wally-pipelined/src/cache/sram1rw.sv | 42 +++++++++++++++++++++++++++- 1 file changed, 41 insertions(+), 1 deletion(-) diff --git a/wally-pipelined/src/cache/sram1rw.sv b/wally-pipelined/src/cache/sram1rw.sv index 835e7061f..e98b18f31 100644 --- a/wally-pipelined/src/cache/sram1rw.sv +++ b/wally-pipelined/src/cache/sram1rw.sv @@ -1,6 +1,7 @@ +/* -----\/----- EXCLUDED -----\/----- // Depth is number of bits in one "word" of the memory, width is number of such words -/* verilator lint_off ASSIGNDLY */ +/-* verilator lint_off ASSIGNDLY *-/ module sram1rw #(parameter DEPTH=128, WIDTH=256) ( input logic clk, @@ -29,5 +30,44 @@ module sram1rw #(parameter DEPTH=128, WIDTH=256) ( endmodule +/-* verilator lint_on ASSIGNDLY *-/ + -----/\----- EXCLUDED -----/\----- */ + + +// Depth is number of bits in one "word" of the memory, width is number of such words + +/* verilator lint_off ASSIGNDLY */ + +module sram1rw #(parameter DEPTH=128, WIDTH=256) ( + input logic clk, + // port 1 is read only + input logic [$clog2(WIDTH)-1:0] Addr, + output logic [DEPTH-1:0] ReadData, + + // port 2 is write only + input logic [DEPTH-1:0] WriteData, + input logic WriteEnable +); + + logic [WIDTH-1:0][DEPTH-1:0] StoredData; + logic [$clog2(WIDTH)-1:0] AddrD; + logic [WIDTH-1:0] WriteDataD; + logic WriteEnableD; + + + always_ff @(posedge clk) begin + AddrD <= Addr; + WriteDataD <= WriteData; + WriteEnableD <= WriteEnable; + if (WriteEnableD) begin + StoredData[AddrD] <= #1 WriteDataD; + end + end + + + assign ReadData = StoredData[AddrD]; + +endmodule + /* verilator lint_on ASSIGNDLY */ From 6c92d3267f76014dc3b3e51e58f90df9175149da Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 25 Oct 2021 16:11:41 -0500 Subject: [PATCH 2/9] Fixed bug with the changes to sram1rw. --- wally-pipelined/src/cache/sram1rw.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/wally-pipelined/src/cache/sram1rw.sv b/wally-pipelined/src/cache/sram1rw.sv index e98b18f31..4c7671d1b 100644 --- a/wally-pipelined/src/cache/sram1rw.sv +++ b/wally-pipelined/src/cache/sram1rw.sv @@ -51,7 +51,7 @@ module sram1rw #(parameter DEPTH=128, WIDTH=256) ( logic [WIDTH-1:0][DEPTH-1:0] StoredData; logic [$clog2(WIDTH)-1:0] AddrD; - logic [WIDTH-1:0] WriteDataD; + logic [DEPTH-1:0] WriteDataD; logic WriteEnableD; From cb7015a690d9e0ced404c89a8b44a8117d7fd167 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 25 Oct 2021 18:00:23 -0500 Subject: [PATCH 3/9] Fixed the timing issue in the cache replacement polcy. --- .../src/cache/cachereplacementpolicy.sv | 21 +++++++++++++++---- 1 file changed, 17 insertions(+), 4 deletions(-) diff --git a/wally-pipelined/src/cache/cachereplacementpolicy.sv b/wally-pipelined/src/cache/cachereplacementpolicy.sv index 8ba0c185a..d2739a7c3 100644 --- a/wally-pipelined/src/cache/cachereplacementpolicy.sv +++ b/wally-pipelined/src/cache/cachereplacementpolicy.sv @@ -42,21 +42,34 @@ module cachereplacementpolicy logic [NUMWAYS-2:0] ReplacementBits [NUMLINES-1:0]; logic [NUMWAYS-2:0] BlockReplacementBits; logic [NUMWAYS-2:0] NewReplacement; + logic [NUMWAYS-2:0] NewReplacementD; + logic [INDEXLEN+OFFSETLEN-1:OFFSETLEN] MemPAdrMD; + logic [INDEXLEN-1:0] RAdrD; + logic LRUWriteEnD; + /* verilator lint_off BLKLOOPINIT */ always_ff @(posedge clk, posedge reset) begin if (reset) begin + RAdrD <= '0; + MemPAdrMD <= '0; + LRUWriteEnD <= 0; + NewReplacementD <= '0; for(int index = 0; index < NUMLINES; index++) - ReplacementBits[index] <= '0; + ReplacementBits[index] <= '0; end else begin - BlockReplacementBits <= ReplacementBits[RAdr]; - if (LRUWriteEn) begin - ReplacementBits[MemPAdrM[INDEXLEN+OFFSETLEN-1:OFFSETLEN]] <= NewReplacement; + RAdrD <= RAdr; + MemPAdrMD <= MemPAdrMD; + LRUWriteEnD <= LRUWriteEn; + NewReplacementD <= NewReplacement; + if (LRUWriteEnD) begin + ReplacementBits[MemPAdrMD[INDEXLEN+OFFSETLEN-1:OFFSETLEN]] <= NewReplacementD; end end end /* verilator lint_on BLKLOOPINIT */ + assign BlockReplacementBits = ReplacementBits[RAdrD]; genvar index; generate From 09b3549efd023517130988f38111ee1ff26b2684 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 25 Oct 2021 22:05:11 -0500 Subject: [PATCH 4/9] Fixed another critical path in the caches. --- wally-pipelined/src/cache/cacheway.sv | 33 +++++++++++++++++++++------ 1 file changed, 26 insertions(+), 7 deletions(-) diff --git a/wally-pipelined/src/cache/cacheway.sv b/wally-pipelined/src/cache/cacheway.sv index 242d596f8..9599ce460 100644 --- a/wally-pipelined/src/cache/cacheway.sv +++ b/wally-pipelined/src/cache/cacheway.sv @@ -64,6 +64,14 @@ module cacheway #(parameter NUMLINES=512, parameter BLOCKLEN = 256, TAGLEN = 26, logic [TAGLEN-1:0] VicDirtyWay; logic [TAGLEN-1:0] FlushThisWay; + logic [$clog2(NUMLINES)-1:0] RAdrD, WAdrD; + logic SetValidD, ClearValidD; + logic SetDirtyD, ClearDirtyD; + logic WriteEnableD, VDWriteEnableD; + + + + genvar words; generate @@ -108,28 +116,39 @@ module cacheway #(parameter NUMLINES=512, parameter BLOCKLEN = 256, TAGLEN = 26, ValidBits <= {NUMLINES{1'b0}}; else if (InvalidateAll) ValidBits <= {NUMLINES{1'b0}}; - else if (SetValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b1; - else if (ClearValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b0; + else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[WAdrD] <= 1'b1; + else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[WAdrD] <= 1'b0; end always_ff @(posedge clk) begin - Valid <= ValidBits[RAdr]; + RAdrD <= RAdr; + WAdrD <= WAdr; + SetValidD <= SetValid; + ClearValidD <= ClearValid; + WriteEnableD <= WriteEnable; + VDWriteEnableD <= VDWriteEnable; end + + assign Valid = ValidBits[RAdrD]; + generate if(DIRTY_BITS) begin always_ff @(posedge clk, posedge reset) begin if (reset) DirtyBits <= {NUMLINES{1'b0}}; - else if (SetDirty & (WriteEnable | VDWriteEnable)) DirtyBits[WAdr] <= 1'b1; - else if (ClearDirty & (WriteEnable | VDWriteEnable)) DirtyBits[WAdr] <= 1'b0; + else if (SetDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[WAdrD] <= 1'b1; + else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[WAdrD] <= 1'b0; end - always_ff @(posedge clk) begin - Dirty <= DirtyBits[RAdr]; + always_ff @(posedge clk, posedge reset) begin + SetDirtyD <= SetDirty; + ClearDirtyD <= ClearDirty; end + assign Dirty = DirtyBits[RAdrD]; + end else begin assign Dirty = 1'b0; end From 44de52a05afb22983c0ad25761e706e20adc5ed6 Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 26 Oct 2021 08:30:35 -0700 Subject: [PATCH 5/9] Synchronous reset in non-flop blocks --- wally-pipelined/src/cache/cacheway.sv | 9 +++------ wally-pipelined/src/fpu/fregfile.sv | 2 +- wally-pipelined/src/ieu/regfile.sv | 2 +- wally-pipelined/src/privileged/csrc.sv | 4 ++-- wally-pipelined/src/privileged/csri.sv | 6 ++---- wally-pipelined/src/privileged/csrsr.sv | 2 +- 6 files changed, 10 insertions(+), 15 deletions(-) diff --git a/wally-pipelined/src/cache/cacheway.sv b/wally-pipelined/src/cache/cacheway.sv index 242d596f8..d8a48f4c1 100644 --- a/wally-pipelined/src/cache/cacheway.sv +++ b/wally-pipelined/src/cache/cacheway.sv @@ -104,11 +104,9 @@ module cacheway #(parameter NUMLINES=512, parameter BLOCKLEN = 256, TAGLEN = 26, always_ff @(posedge clk, posedge reset) begin - if (reset) - ValidBits <= {NUMLINES{1'b0}}; - else if (InvalidateAll) - ValidBits <= {NUMLINES{1'b0}}; - else if (SetValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b1; + if (reset) ValidBits <= {NUMLINES{1'b0}}; + else if (InvalidateAll) ValidBits <= {NUMLINES{1'b0}}; + else if (SetValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b1; else if (ClearValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b0; end @@ -118,7 +116,6 @@ module cacheway #(parameter NUMLINES=512, parameter BLOCKLEN = 256, TAGLEN = 26, generate if(DIRTY_BITS) begin - always_ff @(posedge clk, posedge reset) begin if (reset) DirtyBits <= {NUMLINES{1'b0}}; diff --git a/wally-pipelined/src/fpu/fregfile.sv b/wally-pipelined/src/fpu/fregfile.sv index fd8e0f608..492f7b924 100644 --- a/wally-pipelined/src/fpu/fregfile.sv +++ b/wally-pipelined/src/fpu/fregfile.sv @@ -39,7 +39,7 @@ module fregfile ( // write fourth port on rising edge of clock (A4/WD4/WE4) // write occurs on falling edge of clock - always_ff @(negedge clk or posedge reset) + always_ff @(negedge clk) // or posedge reset) if (reset) for(i=0; i<32; i++) rf[i] <= 0; else if (we4) rf[a4] <= wd4; diff --git a/wally-pipelined/src/ieu/regfile.sv b/wally-pipelined/src/ieu/regfile.sv index 73b62a579..c05a655c4 100644 --- a/wally-pipelined/src/ieu/regfile.sv +++ b/wally-pipelined/src/ieu/regfile.sv @@ -43,7 +43,7 @@ module regfile ( // reset is intended for simulation only, not synthesis - always_ff @(negedge clk or posedge reset) + always_ff @(negedge clk) // or posedge reset) if (reset) for(i=1; i<32; i++) rf[i] <= 0; else if (we3) rf[a3] <= wd3; diff --git a/wally-pipelined/src/privileged/csrc.sv b/wally-pipelined/src/privileged/csrc.sv index 647f859b1..10eb9df8f 100644 --- a/wally-pipelined/src/privileged/csrc.sv +++ b/wally-pipelined/src/privileged/csrc.sv @@ -149,7 +149,7 @@ module csrc #(parameter for (i = 3; i < `COUNTERS; i = i+1) begin assign WriteHPMCOUNTERM[i] = CSRMWriteM && (CSRAdrM == MHPMCOUNTERBASE + i); assign NextHPMCOUNTERM[i][`XLEN-1:0] = WriteHPMCOUNTERM[i] ? CSRWriteValM : HPMCOUNTERPlusM[i][`XLEN-1:0]; - always @(posedge clk, posedge reset) // ModelSim doesn't like syntax of passing array element to flop + always @(posedge clk) //, posedge reset) // ModelSim doesn't like syntax of passing array element to flop if (reset) HPMCOUNTER_REGW[i][`XLEN-1:0] <= #1 0; else if (~StallW) HPMCOUNTER_REGW[i][`XLEN-1:0] <= #1 NextHPMCOUNTERM[i]; @@ -159,7 +159,7 @@ module csrc #(parameter assign HPMCOUNTERPlusM[i] = {HPMCOUNTERH_REGW[i], HPMCOUNTER_REGW[i]} + {63'b0, CounterEvent[i] & ~MCOUNTINHIBIT_REGW[i]}; assign WriteHPMCOUNTERHM[i] = CSRMWriteM && (CSRAdrM == MHPMCOUNTERHBASE + i); assign NextHPMCOUNTERHM[i] = WriteHPMCOUNTERHM[i] ? CSRWriteValM : HPMCOUNTERPlusM[i][63:32]; - always @(posedge clk, posedge reset) // ModelSim doesn't like syntax of passing array element to flop + always @(posedge clk) //, posedge reset) // ModelSim doesn't like syntax of passing array element to flop if (reset) HPMCOUNTERH_REGW[i][`XLEN-1:0] <= #1 0; else if (~StallW) HPMCOUNTERH_REGW[i][`XLEN-1:0] <= #1 NextHPMCOUNTERHM[i]; end else begin diff --git a/wally-pipelined/src/privileged/csri.sv b/wally-pipelined/src/privileged/csri.sv index 3b54d871a..18056bec9 100644 --- a/wally-pipelined/src/privileged/csri.sv +++ b/wally-pipelined/src/privileged/csri.sv @@ -78,19 +78,17 @@ module csri #(parameter assign MIP_WRITE_MASK = 12'h000; assign SIP_WRITE_MASK = 12'h000; end - always @(posedge clk, posedge reset) begin // *** I strongly feel that IntInM should go directly to IP_REGW -- Ben 9/7/21 + always @(posedge clk) //, posedge reset) begin // *** I strongly feel that IntInM should go directly to IP_REGW -- Ben 9/7/21 if (reset) IP_REGW_writeable <= 10'b0; else if (WriteMIPM) IP_REGW_writeable <= (CSRWriteValM[9:0] & MIP_WRITE_MASK[9:0]) | IntInM[9:0]; // MTIP unclearable else if (WriteSIPM) IP_REGW_writeable <= (CSRWriteValM[9:0] & SIP_WRITE_MASK[9:0]) | IntInM[9:0]; // MTIP unclearable // else if (WriteUIPM) IP_REGW = (CSRWriteValM & 12'hBBB) | (NextIPM & 12'h080); // MTIP unclearable else IP_REGW_writeable <= IP_REGW_writeable | IntInM[9:0]; // *** check this turns off interrupts properly even when MIDELEG changes - end - always @(posedge clk, posedge reset) begin + always @(posedge clk) //, posedge reset) begin if (reset) IE_REGW <= 12'b0; else if (WriteMIEM) IE_REGW <= (CSRWriteValM[11:0] & 12'hAAA); // MIE controls M and S fields else if (WriteSIEM) IE_REGW <= (CSRWriteValM[11:0] & 12'h222) | (IE_REGW & 12'h888); // only S fields // else if (WriteUIEM) IE_REGW = (CSRWriteValM & 12'h111) | (IE_REGW & 12'hAAA); // only U field - end endgenerate // restricted views of registers diff --git a/wally-pipelined/src/privileged/csrsr.sv b/wally-pipelined/src/privileged/csrsr.sv index dfa2132d9..6669bb296 100644 --- a/wally-pipelined/src/privileged/csrsr.sv +++ b/wally-pipelined/src/privileged/csrsr.sv @@ -108,7 +108,7 @@ module csrsr ( // registers for STATUS bits // complex register with reset, write enable, and the ability to update other bits in certain cases - always_ff @(posedge clk, posedge reset) + always_ff @(posedge clk) //, posedge reset) if (reset) begin STATUS_TSR_INT <= #1 0; STATUS_TW_INT <= #1 0; From 1a6fb2fad9b01341e0fc3bfe7254d142908d0fcf Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 26 Oct 2021 08:38:13 -0700 Subject: [PATCH 6/9] Forgot to save cacheway merge --- wally-pipelined/src/cache/cacheway.sv | 7 ------- 1 file changed, 7 deletions(-) diff --git a/wally-pipelined/src/cache/cacheway.sv b/wally-pipelined/src/cache/cacheway.sv index e92fd77e5..bb760bbab 100644 --- a/wally-pipelined/src/cache/cacheway.sv +++ b/wally-pipelined/src/cache/cacheway.sv @@ -112,19 +112,12 @@ module cacheway #(parameter NUMLINES=512, parameter BLOCKLEN = 256, TAGLEN = 26, always_ff @(posedge clk, posedge reset) begin -<<<<<<< HEAD - if (reset) ValidBits <= {NUMLINES{1'b0}}; - else if (InvalidateAll) ValidBits <= {NUMLINES{1'b0}}; - else if (SetValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b1; - else if (ClearValid & (WriteEnable | VDWriteEnable)) ValidBits[WAdr] <= 1'b0; -======= if (reset) ValidBits <= {NUMLINES{1'b0}}; else if (InvalidateAll) ValidBits <= {NUMLINES{1'b0}}; else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[WAdrD] <= 1'b1; else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[WAdrD] <= 1'b0; ->>>>>>> 520b9f17d7e813e4be9ef79613d6d9ab07b90817 end always_ff @(posedge clk) begin From ca700610f88bb6e0bc18c2de6fddc6dd7e6c5cea Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 26 Oct 2021 08:51:49 -0700 Subject: [PATCH 7/9] removed referenc outputs --- .../riscv-test-suite/rv32i_m/C/Makefrag | 28 - .../riscv-test-suite/rv32i_m/F/Makefrag | 146 -- .../riscv-test-suite/rv32i_m/I/Makefrag | 39 - .../rv32i_m/K_unratified/Makefrag | 65 - .../riscv-test-suite/rv32i_m/M/Makefrag | 8 - .../rv32i_m/Zifencei/Makefrag | 1 - .../rv32i_m/privilege/Makefrag | 16 - .../C/references/cadd-01.reference_output | 1340 -------------- .../C/references/caddi-01.reference_output | 880 --------- .../references/caddi16sp-01.reference_output | 264 --- .../references/caddi4spn-01.reference_output | 40 - .../C/references/caddiw-01.reference_output | 876 --------- .../C/references/caddw-01.reference_output | 1356 -------------- .../C/references/cand-01.reference_output | 1344 -------------- .../C/references/candi-01.reference_output | 880 --------- .../C/references/cbeqz-01.reference_output | 300 --- .../C/references/cbnez-01.reference_output | 300 --- .../C/references/cebreak-01.reference_output | 8 - .../C/references/cj-01.reference_output | 36 - .../C/references/cjalr-01.reference_output | 64 - .../C/references/cjr-01.reference_output | 64 - .../C/references/cld-01.reference_output | 28 - .../C/references/cldsp-01.reference_output | 64 - .../C/references/cli-01.reference_output | 64 - .../C/references/clui-01.reference_output | 64 - .../C/references/clw-01.reference_output | 28 - .../C/references/clwsp-01.reference_output | 64 - .../C/references/cmv-01.reference_output | 300 --- .../C/references/cnop-01.reference_output | 28 - .../C/references/cor-01.reference_output | 1360 -------------- .../C/references/csd-01.reference_output | 264 --- .../C/references/csdsp-01.reference_output | 264 --- .../C/references/cslli-01.reference_output | 296 --- .../C/references/csrai-01.reference_output | 296 --- .../C/references/csrli-01.reference_output | 300 --- .../C/references/csub-01.reference_output | 1344 -------------- .../C/references/csubw-01.reference_output | 1340 -------------- .../C/references/csw-01.reference_output | 264 --- .../C/references/cswsp-01.reference_output | 268 --- .../C/references/cxor-01.reference_output | 1328 ------------- .../references/aes64ds-01.reference_output | 116 -- .../references/aes64ds-rwp1.reference_output | 156 -- .../references/aes64dsm-01.reference_output | 116 -- .../references/aes64dsm-rwp1.reference_output | 156 -- .../references/aes64es-01.reference_output | 116 -- .../references/aes64es-rwp1.reference_output | 156 -- .../references/aes64esm-01.reference_output | 116 -- .../references/aes64esm-rwp1.reference_output | 156 -- .../references/aes64im-01.reference_output | 300 --- .../references/aes64im-rwp1.reference_output | 488 ----- .../references/aes64im-rwp2.reference_output | 112 -- .../references/aes64ks1i-01.reference_output | 172 -- .../references/aes64ks2-01.reference_output | 440 ----- .../references/andn-01.reference_output | 1048 ----------- .../references/clmul-01.reference_output | 1052 ----------- .../references/clmulh-01.reference_output | 1052 ----------- .../references/orn-01.reference_output | 1052 ----------- .../references/pack-01.reference_output | 1048 ----------- .../references/packh-01.reference_output | 1052 ----------- .../references/packu-01.reference_output | 1044 ----------- .../references/packuw-01.reference_output | 1052 ----------- .../references/packw-01.reference_output | 1052 ----------- .../references/rev.b-01.reference_output | 520 ------ .../references/rev8-01.reference_output | 520 ------ .../references/rev8.w-01.reference_output | 520 ------ .../references/rol-01.reference_output | 1052 ----------- .../references/rolw-01.reference_output | 1052 ----------- .../references/ror-01.reference_output | 1052 ----------- .../references/rori-01.reference_output | 580 ------ .../references/roriw-01.reference_output | 572 ------ .../references/rorw-01.reference_output | 1052 ----------- .../references/sha256sig0-01.reference_output | 300 --- .../sha256sig0-rwp1.reference_output | 488 ----- .../sha256sig0-rwp2.reference_output | 112 -- .../references/sha256sig1-01.reference_output | 300 --- .../sha256sig1-rwp1.reference_output | 488 ----- .../sha256sig1-rwp2.reference_output | 112 -- .../references/sha256sum0-01.reference_output | 300 --- .../sha256sum0-rwp1.reference_output | 488 ----- .../sha256sum0-rwp2.reference_output | 112 -- .../references/sha256sum1-01.reference_output | 300 --- .../sha256sum1-rwp1.reference_output | 488 ----- .../sha256sum1-rwp2.reference_output | 112 -- .../references/sha512sig0-01.reference_output | 300 --- .../sha512sig0-rwp1.reference_output | 488 ----- .../sha512sig0-rwp2.reference_output | 112 -- .../references/sha512sig1-01.reference_output | 300 --- .../sha512sig1-rwp1.reference_output | 488 ----- .../sha512sig1-rwp2.reference_output | 112 -- .../references/sha512sum0-01.reference_output | 300 --- .../sha512sum0-rwp1.reference_output | 488 ----- .../sha512sum0-rwp2.reference_output | 112 -- .../references/sha512sum1-01.reference_output | 300 --- .../sha512sum1-rwp1.reference_output | 488 ----- .../sha512sum1-rwp2.reference_output | 112 -- .../references/sm3p0-01.reference_output | 300 --- .../references/sm3p0-rwp1.reference_output | 488 ----- .../references/sm3p0-rwp2.reference_output | 112 -- .../references/sm3p1-01.reference_output | 300 --- .../references/sm3p1-rwp1.reference_output | 488 ----- .../references/sm3p1-rwp2.reference_output | 112 -- .../references/sm4ed-01.reference_output | 564 ------ .../references/sm4ed-rwp1.reference_output | 52 - .../references/sm4ks-01.reference_output | 564 ------ .../references/sm4ks-rwp1.reference_output | 52 - .../references/xnor-01.reference_output | 1052 ----------- .../references/xperm.b-01.reference_output | 1092 ----------- .../references/xperm.n-01.reference_output | 1092 ----------- .../M/references/div-01.reference_output | 1356 -------------- .../M/references/divu-01.reference_output | 1648 ----------------- .../M/references/divuw-01.reference_output | 1644 ---------------- .../M/references/divw-01.reference_output | 1344 -------------- .../M/references/mul-01.reference_output | 1364 -------------- .../M/references/mulh-01.reference_output | 1356 -------------- .../M/references/mulhsu-01.reference_output | 1484 --------------- .../M/references/mulhu-01.reference_output | 1628 ---------------- .../M/references/mulw-01.reference_output | 1344 -------------- .../M/references/rem-01.reference_output | 1332 ------------- .../M/references/remu-01.reference_output | 1644 ---------------- .../M/references/remuw-01.reference_output | 1636 ---------------- .../M/references/remw-01.reference_output | 1352 -------------- .../references/Fencei.reference_output | 4 - .../references/ebreak.reference_output | 8 - .../references/ecall.reference_output | 8 - .../misalign-beq-01.reference_output | 132 -- .../misalign-bge-01.reference_output | 132 -- .../misalign-bgeu-01.reference_output | 132 -- .../misalign-blt-01.reference_output | 132 -- .../misalign-bltu-01.reference_output | 132 -- .../misalign-bne-01.reference_output | 132 -- .../misalign-jal-01.reference_output | 132 -- .../misalign-ld-01.reference_output | 144 -- .../misalign-lh-01.reference_output | 132 -- .../misalign-lhu-01.reference_output | 132 -- .../misalign-lw-01.reference_output | 136 -- .../misalign-lwu-01.reference_output | 136 -- .../misalign-sd-01.reference_output | 144 -- .../misalign-sh-01.reference_output | 132 -- .../misalign-sw-01.reference_output | 136 -- .../misalign1-jalr-01.reference_output | 132 -- .../misalign2-jalr-01.reference_output | 132 -- wally-pipelined/testbench/tests.vh | 153 ++ 142 files changed, 153 insertions(+), 69891 deletions(-) delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cadd-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi16sp-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi4spn-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddiw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cand-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/candi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbeqz-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbnez-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cebreak-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cj-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjalr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cld-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cldsp-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clui-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clwsp-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cmv-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cnop-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csd-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csdsp-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cslli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrai-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csub-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csubw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cswsp-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cxor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks1i-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks2-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/andn-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmul-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmulh-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/orn-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/pack-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packh-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packuw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev.b-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8.w-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rol-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rolw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/ror-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/roriw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rorw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp2.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-rwp1.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xnor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.b-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.n-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/div-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divuw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mul-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulh-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhsu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/rem-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remuw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/references/Fencei.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ebreak.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ecall.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-beq-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bge-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bgeu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-blt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bne-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-jal-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-ld-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lh-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lhu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lwu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sd-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sh-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sw-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign1-jalr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign2-jalr-01.reference_output diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/C/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/C/Makefrag index b2474c13d..79d1bd169 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/C/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/C/Makefrag @@ -28,34 +28,6 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ - cadd-01 \ - caddi-01 \ - caddi16sp-01 \ - caddi4spn-01 \ - cand-01 \ - candi-01 \ - cbeqz-01 \ - cbnez-01 \ - cj-01 \ - cjal-01 \ - cjalr-01 \ - cjr-01 \ - cli-01 \ - clui-01 \ - clw-01 \ - clwsp-01 \ - cmv-01 \ - cnop-01 \ - cor-01 \ - cslli-01 \ - csrai-01 \ - csrli-01 \ - csub-01 \ - csw-01 \ - cswsp-01 \ - cxor-01 \ - cebreak-01 - rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/F/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/F/Makefrag index 768a3450f..253e9e0d3 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/F/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/F/Makefrag @@ -6,152 +6,6 @@ # Description: Makefrag for RV32IF architectural tests rv32if_sc_tests = \ - fadd_b1-01 \ - fadd_b10-01 \ - fadd_b11-01 \ - fadd_b12-01 \ - fadd_b13-01 \ - fadd_b2-01 \ - fadd_b3-01 \ - fadd_b4-01 \ - fadd_b5-01 \ - fadd_b7-01 \ - fadd_b8-01 \ - fclass_b1-01 \ - fcvt.s.w_b25-01 \ - fcvt.s.w_b26-01 \ - fcvt.s.wu_b25-01 \ - fcvt.s.wu_b26-01 \ - fcvt.w.s_b1-01 \ - fcvt.w.s_b22-01 \ - fcvt.w.s_b23-01 \ - fcvt.w.s_b24-01 \ - fcvt.w.s_b27-01 \ - fcvt.w.s_b28-01 \ - fcvt.w.s_b29-01 \ - fcvt.wu.s_b1-01 \ - fcvt.wu.s_b22-01 \ - fcvt.wu.s_b23-01 \ - fcvt.wu.s_b24-01 \ - fcvt.wu.s_b27-01 \ - fcvt.wu.s_b28-01 \ - fcvt.wu.s_b29-01 \ - fdiv_b1-01 \ - fdiv_b2-01 \ - fdiv_b20-01 \ - fdiv_b21-01 \ - fdiv_b3-01 \ - fdiv_b4-01 \ - fdiv_b5-01 \ - fdiv_b6-01 \ - fdiv_b7-01 \ - fdiv_b8-01 \ - fdiv_b9-01 \ - feq_b1-01 \ - feq_b19-01 \ - fle_b1-01 \ - fle_b19-01 \ - flt_b1-01 \ - flt_b19-01 \ - flw-align-01 \ - fmadd_b1-01 \ - fmadd_b14-01 \ - fmadd_b15-01 \ - fmadd_b16-01 \ - fmadd_b17-01 \ - fmadd_b18-01 \ - fmadd_b2-01 \ - fmadd_b3-01 \ - fmadd_b4-01 \ - fmadd_b5-01 \ - fmadd_b6-01 \ - fmadd_b7-01 \ - fmadd_b8-01 \ - fmax_b1-01 \ - fmax_b19-01 \ - fmin_b1-01 \ - fmin_b19-01 \ - fmsub_b1-01 \ - fmsub_b14-01 \ - fmsub_b15-01 \ - fmsub_b16-01 \ - fmsub_b17-01 \ - fmsub_b18-01 \ - fmsub_b2-01 \ - fmsub_b3-01 \ - fmsub_b4-01 \ - fmsub_b5-01 \ - fmsub_b6-01 \ - fmsub_b7-01 \ - fmsub_b8-01 \ - fmul_b1-01 \ - fmul_b2-01 \ - fmul_b3-01 \ - fmul_b4-01 \ - fmul_b5-01 \ - fmul_b6-01 \ - fmul_b7-01 \ - fmul_b8-01 \ - fmul_b9-01 \ - fmv.w.x_b25-01 \ - fmv.w.x_b26-01 \ - fmv.x.w_b1-01 \ - fmv.x.w_b22-01 \ - fmv.x.w_b23-01 \ - fmv.x.w_b24-01 \ - fmv.x.w_b27-01 \ - fmv.x.w_b28-01 \ - fmv.x.w_b29-01 \ - fnmadd_b1-01 \ - fnmadd_b14-01 \ - fnmadd_b15-01 \ - fnmadd_b16-01 \ - fnmadd_b17-01 \ - fnmadd_b18-01 \ - fnmadd_b2-01 \ - fnmadd_b3-01 \ - fnmadd_b4-01 \ - fnmadd_b5-01 \ - fnmadd_b6-01 \ - fnmadd_b7-01 \ - fnmadd_b8-01 \ - fnmsub_b1-01 \ - fnmsub_b14-01 \ - fnmsub_b15-01 \ - fnmsub_b16-01 \ - fnmsub_b17-01 \ - fnmsub_b18-01 \ - fnmsub_b2-01 \ - fnmsub_b3-01 \ - fnmsub_b4-01 \ - fnmsub_b5-01 \ - fnmsub_b6-01 \ - fnmsub_b7-01 \ - fnmsub_b8-01 \ - fsgnj_b1-01 \ - fsgnjn_b1-01 \ - fsgnjx_b1-01 \ - fsqrt_b1-01 \ - fsqrt_b2-01 \ - fsqrt_b20-01 \ - fsqrt_b3-01 \ - fsqrt_b4-01 \ - fsqrt_b5-01 \ - fsqrt_b7-01 \ - fsqrt_b8-01 \ - fsqrt_b9-01 \ - fsub_b1-01 \ - fsub_b10-01 \ - fsub_b11-01 \ - fsub_b12-01 \ - fsub_b13-01 \ - fsub_b2-01 \ - fsub_b3-01 \ - fsub_b4-01 \ - fsub_b5-01 \ - fsub_b7-01 \ - fsub_b8-01 \ - fsw-align-01 \ diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag index bb2bfc2cd..9331e7e14 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag @@ -28,45 +28,6 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ - add-01 \ - addi-01 \ - and-01 \ - andi-01 \ - auipc-01 \ - beq-01 \ - bge-01 \ - bgeu-01 \ - blt-01 \ - bltu-01 \ - bne-01 \ - jal-01 \ - jalr-01 \ - lb-align-01 \ - lbu-align-01 \ - lh-align-01 \ - lhu-align-01 \ - lui-01 \ - lw-align-01 \ - or-01 \ - ori-01 \ - sb-align-01 \ - sh-align-01 \ - sll-01 \ - slli-01 \ - slt-01 \ - slti-01 \ - sltiu-01 \ - sltu-01 \ - sra-01 \ - srai-01 \ - srl-01 \ - srli-01 \ - sub-01 \ - sw-align-01 \ - xor-01 \ - xori-01 \ - fence-01 - rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag index 11788461a..31462ca2f 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/K_unratified/Makefrag @@ -24,71 +24,6 @@ # Description: Makefrag for RV32K compliance tests rv32k_sc_tests = \ - aes32dsi-01 \ - aes32dsi-rwp1 \ - aes32dsmi-01 \ - aes32dsmi-rwp1 \ - aes32esi-01 \ - aes32esi-rwp1 \ - aes32esmi-01 \ - aes32esmi-rwp1 \ - andn-01 \ - clmul-01 \ - clmulh-01 \ - orn-01 \ - pack-01 \ - packh-01 \ - packu-01 \ - rev.b-01 \ - rev8-01 \ - rol-01 \ - ror-01 \ - rori-01 \ - sha256sig0-01 \ - sha256sig0-rwp1 \ - sha256sig0-rwp2 \ - sha256sig1-01 \ - sha256sig1-rwp1 \ - sha256sig1-rwp2 \ - sha256sum0-01 \ - sha256sum0-rwp1 \ - sha256sum0-rwp2 \ - sha256sum1-01 \ - sha256sum1-rwp1 \ - sha256sum1-rwp2 \ - sha512sig0h-01 \ - sha512sig0h-rwp1 \ - sha512sig0h-rwp2 \ - sha512sig0l-01 \ - sha512sig0l-rwp1 \ - sha512sig0l-rwp2 \ - sha512sig1h-01 \ - sha512sig1h-rwp1 \ - sha512sig1h-rwp2 \ - sha512sig1l-01 \ - sha512sig1l-rwp1 \ - sha512sig1l-rwp2 \ - sha512sum0r-01 \ - sha512sum0r-rwp1 \ - sha512sum0r-rwp2 \ - sha512sum1r-01 \ - sha512sum1r-rwp1 \ - sha512sum1r-rwp2 \ - sm3p0-01 \ - sm3p0-rwp1 \ - sm3p0-rwp2 \ - sm3p1-01 \ - sm3p1-rwp1 \ - sm3p1-rwp2 \ - sm4ed-01 \ - sm4ed-rwp1 \ - sm4ks-01 \ - sm4ks-rwp1 \ - unzip-01 \ - xnor-01 \ - xperm.b-01 \ - xperm.n-01 \ - zip-01 \ rv32k_tests = $(addsuffix .elf, $(rv32k_sc_tests)) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/M/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/M/Makefrag index 4e3f72a2a..1426cad31 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/M/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/M/Makefrag @@ -29,14 +29,6 @@ # Description: Makefrag for RV32IM architectural tests rv32im_sc_tests = \ - div-01 \ - divu-01 \ - mul-01 \ - mulh-01 \ - mulhsu-01 \ - mulhu-01 \ - rem-01 \ - remu-01 rv32im_tests = $(addsuffix .elf, $(rv32im_sc_tests)) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag index 70a567d15..79d1bd169 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/Zifencei/Makefrag @@ -28,7 +28,6 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ - Fencei rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/Makefrag index f88f0a8cc..79d1bd169 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/Makefrag @@ -28,22 +28,6 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ - ebreak \ - ecall \ - misalign-beq-01 \ - misalign-bge-01 \ - misalign-bgeu-01 \ - misalign-blt-01 \ - misalign-bltu-01 \ - misalign-bne-01 \ - misalign-jal-01 \ - misalign-lh-01 \ - misalign-lhu-01 \ - misalign-lw-01 \ - misalign-sh-01 \ - misalign-sw-01 \ - misalign1-jalr-01 \ - misalign2-jalr-01 rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cadd-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cadd-01.reference_output deleted file mode 100644 index 590ec0bc8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cadd-01.reference_output +++ /dev/null @@ -1,1340 +0,0 @@ -00080000 -80000000 -7ffffffe -ffffffff -66666664 -e6666666 -e0000000 -ffffffff -00000000 -80000080 -00000000 -00008000 -33333333 -b3333333 -00000000 -00000000 -00000002 -40000000 -00000003 -c0000000 -fffff007 -ffffffff -00000014 -00000000 -f800001f -ffffffff -0000003f -ffffc000 -00000080 -00080000 -66666766 -66666666 -00000209 -00000000 -66666a65 -66666666 -ffffc7ff -ffffffff -00001000 -00800000 -55557554 -55555555 -b5053333 -00000000 -00007ff8 -00000000 -0000ffff -f8000000 -0001ffff -fff80000 -00080000 -00000000 -00080000 -00800000 -00140000 -00000000 -001fffff -ff000000 -00400000 -00001000 -00800000 -20000000 -00fffffe -00000000 -01ffffff -00000000 -03fff7ff -00000000 -08000000 -00000800 -0fffffff -80000000 -20000007 -00000000 -3ffffffc -00000000 -d5555555 -55555555 -00080000 -00000001 -00000000 -00000012 -00000000 -c0000004 -b504f334 -00000008 -00000006 -00000020 -ffffffff -ffffe03f -ffffffff -fffff8ff -fffffffd -000001ff -00000000 -00100400 -ffffffef -000007ff -00000006 -00001000 -fffffdff -00001fff -fffffffb -00003fff -00002000 -00010000 -00400000 -00020000 -ffdfffff -0003ffff -55555555 -555d5555 -ffffffff -000ffffd -ffdfffff -001fffff -20000000 -00400000 -00000000 -00840000 -55555555 -56555555 -00400000 -02000000 -00000005 -04000000 -fffffffd -07ffffff -fffffffa -0fffffff -00000000 -e0000000 -ffffff7f -3fffffff -00000ffe -00000000 -ffeffffc -ffffffff -f7fffffa -ffffffff -fffffff6 -dfffffff -ffffffee -fffffffb -ffffffe3 -ffffffff -ffffffbf -000000ff -ffffff83 -ffffffff -fffffefe -fffdffff -fffffe1f -ffffffff -4afb08cc -ffffffff -fffff7ff -7fffffff -ffffefff -00ffffff -ffffdffe -fffffdff -ffffbfde -ffffffff -ffff7efe -ffffffff -fffefffe -fffdffff -fffd7ffe -ffffffff -fffc000f -ffffffff -fff80003 -ffffffff -ffebfffe -ffffffff -ffdfffff -00ffffff -ffb7fffe -ffffffff -ff800001 -ffffffff -ff00ffff -ffffffff -fdffffff -07ffffff -fc00003f -ffffffff -f7fffffa -ffffffff -f00fffff -ffffffff -dfffffff -03ffffff -bfffffff -003fffff -77fffffe -ffffffff -fffffffe -fffffdfe -ffffffff -00003ffd -fdfffffe -fffffffb -00000003 -fffffff8 -ffffffff -00000fef -fffffffe -ffffffd7 -fffffff6 -ffffffbf -0000ffff -ffffff80 -4afb0ccc -fffffeff -fffffffe -7ffffdff -00000003 -fffffc00 -ffffffbe -fffff7ff -fffffffe -ffdfefff -fdfffffe -ffffdfff -003fffff -ffffc000 -ffffffff -ffffffff -7fffffff -ffff0000 -ffffffff -fffeffff -fffbfffe -fffbffff -fffffffe -ffd7ffff -fffffffe -ffafffff -fffffffe -ffdffffe -ffffffff -ffc0001f -000001ff -ff800000 -00000005 -ff000000 -7fffffff -fe000000 -1fffffff -fc000000 -55555555 -4d555555 -ffffffff -f001ffff -55555555 -35555555 -fbfffffe -bfffffff -5555555d -55555555 -f5a5b778 -aaaaaaa9 -0000007f -fc000000 -00000100 -10000000 -4afb10ce -ffffffff -000007ef -00000000 -aaaaeaab -aaaaaaaa -c0007fff -ffffffff -00020002 -00000000 -001fffff -fff00000 -005fffff -00000000 -05000000 -00000000 -35333332 -33333333 -04000000 -00080000 -08000000 -00000008 -0fffffbf -00000000 -40000000 -00000000 -00000080 -00000001 -fffffffe -00000001 -fdffffff -00000003 -80000000 -00000008 -ffbfffff -0000003f -ffffffff -ffff807f -00000080 -00000200 -ffffff7f -000003ff -aaaaaaaa -aaaacaaa -00000000 -00240000 -02000000 -02000000 -aaaaaaaa -baaaaaaa -b504ef33 -00000000 -ffffdffe -f7ffffff -fffeffff -ffffffff -55535554 -55555555 -ffc03fff -ffffffff -ff7fffff -000fffff -feffffff -0000007f -efffffff -001fffff -80000007 -ffffffff -ffffffff -ffffffef -ffffffff -00003fdf -fffffffe -ffffff7f -00000005 -ffffff80 -ffffffff -bffffeff -55555554 -55555155 -00000004 -fffff000 -00000005 -ffff8000 -07ffffff -ffff0000 -bffffffe -fffbffff -ffffffff -ffefffff -ffffffff -ff7fffff -ffffffff -3dffffff -007fffff -fc000000 -fffffbfe -efffffff -aaaaaaa9 -aaaaa8aa -00000006 -00000000 -55555558 -55555555 -aaaaaaad -aaaaaaaa -00000008 -00000000 -33333336 -33333333 -66666669 -66666666 -4afb0cd0 -ffffffff -b504f336 -00000000 -00000005 -00000000 -55555557 -55555555 -00000003 -00000000 -00000007 -00000000 -33333335 -33333333 -66666668 -66666666 -b504f335 -00000000 -55555559 -55555555 -aaaaaaae -aaaaaaaa -00000009 -00000000 -33333337 -33333333 -6666666a -66666666 -4afb0cd1 -ffffffff -b504f337 -00000000 -55555558 -55555555 -aaaaaaaa -aaaaaaaa -ffffffff -ffffffff -5555555a -55555555 -88888888 -88888888 -bbbbbbbb -bbbbbbbb -a0506222 -55555554 -0a5a4888 -55555556 -55555557 -55555555 -aaaaaaa9 -aaaaaaaa -55555555 -55555555 -55555559 -55555555 -88888887 -88888888 -bbbbbbba -bbbbbbbb -0a5a4887 -55555556 -aaaaaaab -aaaaaaaa -00000000 -00000000 -5555555b -55555555 -88888889 -88888888 -bbbbbbbc -bbbbbbbb -a0506223 -55555554 -0a5a4889 -55555556 -aaaaaaad -aaaaaaaa -ffffffff -ffffffff -55555554 -55555555 -aaaaaaaf -aaaaaaaa -dddddddd -dddddddd -11111110 -11111111 -f5a5b777 -aaaaaaa9 -5faf9ddd -aaaaaaab -aaaaaaac -aaaaaaaa -fffffffe -ffffffff -aaaaaaaa -aaaaaaaa -aaaaaaae -aaaaaaaa -dddddddc -dddddddd -1111110f -11111111 -5faf9ddc -aaaaaaab -00000000 -00000000 -55555555 -55555555 -aaaaaab0 -aaaaaaaa -ddddddde -dddddddd -11111111 -11111111 -f5a5b778 -aaaaaaa9 -5faf9dde -aaaaaaab -00000008 -00000000 -5555555a -55555555 -aaaaaaaf -aaaaaaaa -0000000a -00000000 -33333338 -33333333 -6666666b -66666666 -4afb0cd2 -ffffffff -b504f338 -00000000 -00000007 -00000000 -55555559 -55555555 -00000005 -00000000 -00000009 -00000000 -33333337 -33333333 -6666666a -66666666 -b504f337 -00000000 -5555555b -55555555 -aaaaaab0 -aaaaaaaa -0000000b -00000000 -33333339 -33333333 -6666666c -66666666 -4afb0cd3 -ffffffff -b504f339 -00000000 -33333336 -33333333 -88888888 -88888888 -dddddddd -dddddddd -33333338 -33333333 -66666666 -66666666 -99999999 -99999999 -7e2e4000 -33333332 -e8382666 -33333333 -33333335 -33333333 -88888887 -88888888 -33333333 -33333333 -33333337 -33333333 -66666665 -66666666 -99999998 -99999999 -e8382665 -33333333 -88888889 -88888888 -ddddddde -dddddddd -33333339 -33333333 -66666667 -66666666 -9999999a -99999999 -7e2e4001 -33333332 -e8382667 -33333333 -66666669 -66666666 -bbbbbbbb -bbbbbbbb -11111110 -11111111 -6666666b -66666666 -99999999 -99999999 -cccccccc -cccccccc -b1617333 -66666665 -1b6b5999 -66666667 -66666668 -66666666 -bbbbbbba -bbbbbbbb -66666666 -66666666 -6666666a -66666666 -99999998 -99999999 -cccccccb -cccccccc -1b6b5998 -66666667 -bbbbbbbc -bbbbbbbb -11111111 -11111111 -6666666c -66666666 -9999999a -99999999 -cccccccd -cccccccc -b1617334 -66666665 -1b6b599a -66666667 -4afb0cd0 -ffffffff -a0506222 -55555554 -f5a5b777 -aaaaaaa9 -4afb0cd2 -ffffffff -7e2e4000 -33333332 -b1617333 -66666665 -95f6199a -fffffffe -00000000 -00000000 -4afb0ccf -ffffffff -a0506221 -55555554 -4afb0ccd -ffffffff -4afb0cd1 -ffffffff -7e2e3fff -33333332 -b1617332 -66666665 -ffffffff -ffffffff -a0506223 -55555554 -f5a5b778 -aaaaaaa9 -4afb0cd3 -ffffffff -7e2e4001 -33333332 -b1617334 -66666665 -95f6199b -fffffffe -00000001 -00000000 -b504f336 -00000000 -0a5a4888 -55555556 -5faf9ddd -aaaaaaab -b504f338 -00000000 -e8382666 -33333333 -1b6b5999 -66666667 -00000000 -00000000 -6a09e666 -00000001 -b504f335 -00000000 -0a5a4887 -55555556 -b504f333 -00000000 -b504f337 -00000000 -e8382665 -33333333 -1b6b5998 -66666667 -6a09e665 -00000001 -0a5a4889 -55555556 -5faf9dde -aaaaaaab -b504f339 -00000000 -e8382667 -33333333 -1b6b599a -66666667 -00000001 -00000000 -6a09e667 -00000001 -00000005 -00000000 -55555557 -55555555 -aaaaaaac -aaaaaaaa -00000007 -00000000 -33333335 -33333333 -66666668 -66666666 -4afb0ccf -ffffffff -b504f335 -00000000 -00000004 -00000000 -55555556 -55555555 -00000002 -00000000 -00000006 -00000000 -33333334 -33333333 -66666667 -66666666 -b504f334 -00000000 -55555558 -55555555 -aaaaaaad -aaaaaaaa -00000008 -00000000 -33333336 -33333333 -66666669 -66666666 -4afb0cd0 -ffffffff -b504f336 -00000000 -55555557 -55555555 -aaaaaaa9 -aaaaaaaa -fffffffe -ffffffff -55555559 -55555555 -88888887 -88888888 -bbbbbbba -bbbbbbbb -a0506221 -55555554 -0a5a4887 -55555556 -55555556 -55555555 -aaaaaaa8 -aaaaaaaa -55555554 -55555555 -55555558 -55555555 -88888886 -88888888 -bbbbbbb9 -bbbbbbbb -0a5a4886 -55555556 -aaaaaaaa -aaaaaaaa -ffffffff -ffffffff -5555555a -55555555 -88888888 -88888888 -bbbbbbbb -bbbbbbbb -a0506222 -55555554 -0a5a4888 -55555556 -00000003 -00000000 -55555555 -55555555 -aaaaaaaa -aaaaaaaa -00000005 -00000000 -33333333 -33333333 -66666666 -66666666 -4afb0ccd -ffffffff -b504f333 -00000000 -aaaaaaae -aaaaaaaa -00000009 -00000000 -33333337 -33333333 -6666666a -66666666 -4afb0cd1 -ffffffff -b504f337 -00000000 -00000006 -00000000 -55555558 -55555555 -00000004 -00000000 -00000008 -00000000 -33333336 -33333333 -66666669 -66666666 -b504f336 -00000000 -5555555a -55555555 -aaaaaaaf -aaaaaaaa -0000000a -00000000 -33333338 -33333333 -6666666b -66666666 -4afb0cd2 -ffffffff -b504f338 -00000000 -33333335 -33333333 -88888887 -88888888 -dddddddc -dddddddd -33333337 -33333333 -66666665 -66666666 -99999998 -99999999 -7e2e3fff -33333332 -e8382665 -33333333 -33333334 -33333333 -88888886 -88888888 -33333332 -33333333 -33333336 -33333333 -66666664 -66666666 -99999997 -99999999 -e8382664 -33333333 -88888888 -88888888 -dddddddd -dddddddd -33333338 -33333333 -66666666 -66666666 -99999999 -99999999 -7e2e4000 -33333332 -e8382666 -33333333 -66666668 -66666666 -bbbbbbba -bbbbbbbb -1111110f -11111111 -6666666a -66666666 -99999998 -99999999 -cccccccb -cccccccc -b1617332 -66666665 -1b6b5998 -66666667 -66666667 -66666666 -bbbbbbb9 -bbbbbbbb -66666665 -66666666 -66666669 -66666666 -99999997 -99999999 -ccccccca -cccccccc -1b6b5997 -66666667 -bbbbbbbb -bbbbbbbb -11111110 -11111111 -6666666b -66666666 -99999999 -99999999 -cccccccc -cccccccc -b1617333 -66666665 -1b6b5999 -66666667 -b504f335 -00000000 -0a5a4887 -55555556 -5faf9ddc -aaaaaaab -b504f337 -00000000 -e8382665 -33333333 -1b6b5998 -66666667 -ffffffff -ffffffff -6a09e665 -00000001 -b504f334 -00000000 -0a5a4886 -55555556 -b504f332 -00000000 -b504f336 -00000000 -e8382664 -33333333 -1b6b5997 -66666667 -6a09e664 -00000001 -0a5a4888 -55555556 -5faf9ddd -aaaaaaab -b504f338 -00000000 -e8382666 -33333333 -1b6b5999 -66666667 -00000000 -00000000 -6a09e666 -00000001 -55555559 -55555555 -aaaaaaab -aaaaaaaa -00000000 -00000000 -5555555b -55555555 -88888889 -88888888 -bbbbbbbc -bbbbbbbb -a0506223 -55555554 -0a5a4889 -55555556 -55555558 -55555555 -aaaaaaaa -aaaaaaaa -55555556 -55555555 -5555555a -55555555 -88888888 -88888888 -bbbbbbbb -bbbbbbbb -0a5a4888 -55555556 -aaaaaaac -aaaaaaaa -00000001 -00000000 -5555555c -55555555 -8888888a -88888888 -bbbbbbbd -bbbbbbbb -a0506224 -55555554 -0a5a488a -55555556 -aaaaaaae -aaaaaaaa -00000000 -00000000 -55555555 -55555555 -aaaaaab0 -aaaaaaaa -ddddddde -dddddddd -11111111 -11111111 -f5a5b778 -aaaaaaa9 -5faf9dde -aaaaaaab -aaaaaaad -aaaaaaaa -ffffffff -ffffffff -aaaaaaab -aaaaaaaa -aaaaaaaf -aaaaaaaa -dddddddd -dddddddd -11111110 -11111111 -5faf9ddd -aaaaaaab -00000001 -00000000 -55555556 -55555555 -aaaaaab1 -aaaaaaaa -dddddddf -dddddddd -11111112 -11111111 -f5a5b779 -aaaaaaa9 -5faf9ddf -aaaaaaab -00000009 -00000000 -5555555b -55555555 -aaaaaab0 -aaaaaaaa -0000000b -00000000 -33333339 -33333333 -6666666c -66666666 -4afb0cd3 -ffffffff -b504f339 -00000000 -00000008 -00000000 -5555555a -55555555 -00000006 -00000000 -0000000a -00000000 -33333338 -33333333 -6666666b -66666666 -b504f338 -00000000 -5555555c -55555555 -aaaaaab1 -aaaaaaaa -0000000c -00000000 -3333333a -33333333 -6666666d -66666666 -4afb0cd4 -ffffffff -b504f33a -00000000 -33333337 -33333333 -88888889 -88888888 -ddddddde -dddddddd -33333339 -33333333 -66666667 -66666666 -9999999a -99999999 -7e2e4001 -33333332 -e8382667 -33333333 -33333336 -33333333 -88888888 -88888888 -33333334 -33333333 -33333338 -33333333 -66666666 -66666666 -99999999 -99999999 -e8382666 -33333333 -8888888a -88888888 -dddddddf -dddddddd -3333333a -33333333 -66666668 -66666666 -9999999b -99999999 -7e2e4002 -33333332 -e8382668 -33333333 -6666666a -66666666 -bbbbbbbc -bbbbbbbb -11111111 -11111111 -6666666c -66666666 -9999999a -99999999 -cccccccd -cccccccc -b1617334 -66666665 -1b6b599a -66666667 -66666669 -66666666 -bbbbbbbb -bbbbbbbb -66666667 -66666666 -6666666b -66666666 -99999999 -99999999 -cccccccc -cccccccc -1b6b5999 -66666667 -bbbbbbbd -bbbbbbbb -11111112 -11111111 -6666666d -66666666 -9999999b -99999999 -ccccccce -cccccccc -b1617335 -66666665 -1b6b599b -66666667 -4afb0cd1 -ffffffff -a0506223 -55555554 -4afb0cd3 -ffffffff -7e2e4001 -33333332 -b1617334 -66666665 -95f6199b -fffffffe -00000001 -00000000 -4afb0cd0 -ffffffff -a0506222 -55555554 -4afb0cce -ffffffff -4afb0cd2 -ffffffff -7e2e4000 -33333332 -b1617333 -66666665 -00000000 -00000000 -a0506224 -55555554 -f5a5b779 -aaaaaaa9 -4afb0cd4 -ffffffff -7e2e4002 -33333332 -b1617335 -66666665 -95f6199c -fffffffe -00000002 -00000000 -b504f337 -00000000 -0a5a4889 -55555556 -5faf9dde -aaaaaaab -b504f339 -00000000 -e8382667 -33333333 -1b6b599a -66666667 -00000001 -00000000 -6a09e667 -00000001 -b504f336 -00000000 -0a5a4888 -55555556 -b504f334 -00000000 -b504f338 -00000000 -e8382666 -33333333 -1b6b5999 -66666667 -6a09e666 -00000001 -0a5a488a -55555556 -5faf9ddf -aaaaaaab -b504f33a -00000000 -e8382668 -33333333 -1b6b599b -66666667 -00000002 -00000000 -6a09e668 -00000001 -00000002 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -33333332 -33333333 -66666665 -66666666 -b504f332 -00000000 -55555556 -55555555 -aaaaaaab -aaaaaaaa -00000006 -00000000 -33333334 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f334 -00000000 -00000007 -00000000 -55555559 -55555555 -bfffffff -ffffffff -00000001 -00000010 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi-01.reference_output deleted file mode 100644 index 952bff5f5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi-01.reference_output +++ /dev/null @@ -1,880 +0,0 @@ -fffffffa -ffffffff -4afb0cdd -ffffffff -5555555b -55555555 -00000005 -00000000 -fffffbdf -ffffffff -aaaaaaaa -aaaaaaaa -fffffc1e -ffffffff -55555556 -55555555 -00000002 -80000000 -fffffff0 -ffffffff -fffffffa -7fffffff -00000000 -00000000 -40000004 -00000000 -00000007 -fffffffc -0000000a -00000000 -00000002 -00000000 -b504f329 -00000000 -ffffffee -fff7ffff -01000015 -00000000 -fffdffe9 -ffffffff -00000007 -00000000 -0000000d -00000000 -00000017 -00000000 -00000000 -00000000 -0000003e -00000000 -0000009f -00000000 -00000104 -00000000 -00000206 -00000000 -00000407 -00000000 -0000081f -00000000 -00001006 -00000000 -00002004 -00000000 -00004007 -00000000 -00008004 -00000000 -00010004 -00000000 -0001fffd -00000000 -00040002 -00000000 -0007ffea -00000000 -000ffff7 -00000000 -001ffff6 -00000000 -00400004 -00000000 -007fffe0 -00000000 -01ffffef -00000000 -04000004 -00000000 -08000006 -00000000 -10000002 -00000000 -1fffffe0 -00000000 -80000000 -00000000 -0000000a -00000001 -00000006 -00000002 -0000000a -00000004 -00000007 -00000008 -fffffffd -0000000f -fffffffc -0000001f -00000002 -00000040 -00000006 -00000080 -00000008 -00000100 -00000000 -00000200 -00000005 -00000400 -fffffffd -000007ff -00000006 -00001000 -fffffffb -00001fff -00000009 -00004000 -00000000 -00008000 -00000005 -00010000 -00000005 -00020000 -ffffffe0 -0003ffff -00000003 -00080000 -00000003 -00100000 -00000006 -00200000 -00000005 -00400000 -00000002 -00800000 -fffffffd -00ffffff -00000004 -02000000 -00000001 -04000000 -00000008 -08000000 -00000004 -10000000 -00000003 -20000000 -fffffffe -3fffffff -00000006 -00000000 -00000006 -00000000 -fffffff7 -ffffffff -ffffffea -ffffffff -ffffffda -ffffffff -ffffffc5 -ffffffff -ffffff7a -ffffffff -fffffefd -ffffffff -fffffe03 -ffffffff -fffff805 -ffffffff -ffffeffc -ffffffff -ffffe005 -ffffffff -ffffbfee -ffffffff -ffff8003 -ffffffff -ffff0002 -ffffffff -fffbfffe -ffffffff -fff7fffd -ffffffff -ffefffff -ffffffff -ffe00005 -ffffffff -ffc0001e -ffffffff -ff7ffff9 -ffffffff -ff000009 -ffffffff -fdfffffb -ffffffff -fc00000e -ffffffff -f8000005 -ffffffff -f0000005 -ffffffff -dffffffe -ffffffff -bfffffff -ffffffff -7ffffff8 -ffffffff -0000000f -ffffffff -00000007 -fffffffe -ffffffff -fffffff7 -00000003 -fffffff0 -ffffffee -ffffffdf -0000000e -ffffffc0 -00000004 -ffffff80 -ffffffff -fffffeff -00000005 -fffffe00 -00000006 -fffffc00 -fffffff6 -fffff7ff -ffffffe9 -ffffefff -00000003 -ffffe000 -00000003 -ffffc000 -00000004 -ffff8000 -ffffffe9 -fffeffff -0000000a -fffe0000 -00000004 -fffc0000 -fffffff7 -ffefffff -00000005 -ffe00000 -00000001 -ffc00000 -ffffffff -ff7fffff -00000005 -ff000000 -ffffffff -fdffffff -ffffffee -fbffffff -ffffffee -f7ffffff -00000001 -f0000000 -00000014 -e0000000 -00000002 -c0000000 -00000006 -00000000 -00000008 -00000000 -0000000d -00000000 -00000009 -00000000 -00000001 -00000000 -fffffffe -ffffffff -00000005 -00000000 -00000007 -00000000 -0000000c -00000000 -00000003 -00000000 -0000000e -00000000 -0000000a -00000000 -00000002 -00000000 -ffffffff -ffffffff -55555558 -55555555 -5555555a -55555555 -5555555f -55555555 -55555553 -55555555 -55555550 -55555555 -55555557 -55555555 -55555559 -55555555 -5555555e -55555555 -55555555 -55555555 -55555560 -55555555 -5555555c -55555555 -55555554 -55555555 -55555551 -55555555 -aaaaaaad -aaaaaaaa -aaaaaaaf -aaaaaaaa -aaaaaab4 -aaaaaaaa -aaaaaab0 -aaaaaaaa -aaaaaaa8 -aaaaaaaa -aaaaaaa5 -aaaaaaaa -aaaaaaac -aaaaaaaa -aaaaaaae -aaaaaaaa -aaaaaab3 -aaaaaaaa -aaaaaab5 -aaaaaaaa -aaaaaab1 -aaaaaaaa -aaaaaaa9 -aaaaaaaa -aaaaaaa6 -aaaaaaaa -00000008 -00000000 -0000000a -00000000 -0000000f -00000000 -0000000b -00000000 -00000003 -00000000 -00000000 -00000000 -00000007 -00000000 -00000009 -00000000 -0000000e -00000000 -00000005 -00000000 -00000010 -00000000 -0000000c -00000000 -00000004 -00000000 -00000001 -00000000 -33333336 -33333333 -33333338 -33333333 -3333333d -33333333 -33333339 -33333333 -33333331 -33333333 -3333332e -33333333 -33333335 -33333333 -33333337 -33333333 -3333333c -33333333 -33333333 -33333333 -3333333e -33333333 -3333333a -33333333 -33333332 -33333333 -3333332f -33333333 -66666669 -66666666 -6666666b -66666666 -66666670 -66666666 -6666666c -66666666 -66666664 -66666666 -66666661 -66666666 -66666668 -66666666 -6666666a -66666666 -6666666f -66666666 -66666666 -66666666 -66666671 -66666666 -6666666d -66666666 -66666665 -66666666 -66666662 -66666666 -4afb0cd0 -ffffffff -4afb0cd2 -ffffffff -4afb0cd7 -ffffffff -4afb0cd3 -ffffffff -4afb0ccb -ffffffff -4afb0cc8 -ffffffff -4afb0ccf -ffffffff -4afb0cd1 -ffffffff -4afb0cd6 -ffffffff -4afb0ccd -ffffffff -4afb0cd8 -ffffffff -4afb0cd4 -ffffffff -4afb0ccc -ffffffff -4afb0cc9 -ffffffff -b504f336 -00000000 -b504f338 -00000000 -b504f33d -00000000 -b504f339 -00000000 -b504f331 -00000000 -b504f32e -00000000 -b504f335 -00000000 -b504f337 -00000000 -b504f33c -00000000 -b504f333 -00000000 -b504f33e -00000000 -b504f33a -00000000 -b504f332 -00000000 -b504f32f -00000000 -00000005 -00000000 -0000000c -00000000 -00000008 -00000000 -00000000 -00000000 -fffffffd -ffffffff -00000004 -00000000 -00000006 -00000000 -0000000b -00000000 -00000002 -00000000 -0000000d -00000000 -00000009 -00000000 -00000001 -00000000 -fffffffe -ffffffff -55555557 -55555555 -55555559 -55555555 -5555555e -55555555 -5555555a -55555555 -55555552 -55555555 -5555554f -55555555 -55555556 -55555555 -55555558 -55555555 -5555555d -55555555 -55555554 -55555555 -5555555f -55555555 -5555555b -55555555 -55555553 -55555555 -55555550 -55555555 -00000003 -00000000 -00000005 -00000000 -0000000a -00000000 -00000006 -00000000 -fffffffe -ffffffff -fffffffb -ffffffff -00000002 -00000000 -00000004 -00000000 -00000009 -00000000 -00000000 -00000000 -0000000b -00000000 -00000007 -00000000 -ffffffff -ffffffff -fffffffc -ffffffff -00000007 -00000000 -00000009 -00000000 -0000000e -00000000 -0000000a -00000000 -ffffffff -ffffffff -00000006 -00000000 -00000008 -00000000 -0000000d -00000000 -00000004 -00000000 -0000000f -00000000 -0000000b -00000000 -00000003 -00000000 -00000000 -00000000 -33333335 -33333333 -33333337 -33333333 -3333333c -33333333 -33333338 -33333333 -33333330 -33333333 -3333332d -33333333 -33333334 -33333333 -33333336 -33333333 -3333333b -33333333 -33333332 -33333333 -3333333d -33333333 -33333339 -33333333 -33333331 -33333333 -3333332e -33333333 -66666668 -66666666 -6666666a -66666666 -6666666f -66666666 -6666666b -66666666 -66666663 -66666666 -66666660 -66666666 -66666667 -66666666 -66666669 -66666666 -6666666e -66666666 -66666665 -66666666 -66666670 -66666666 -6666666c -66666666 -66666664 -66666666 -66666661 -66666666 -b504f335 -00000000 -b504f337 -00000000 -b504f33c -00000000 -b504f338 -00000000 -b504f330 -00000000 -b504f32d -00000000 -b504f334 -00000000 -b504f336 -00000000 -b504f33b -00000000 -b504f332 -00000000 -b504f33d -00000000 -b504f339 -00000000 -b504f331 -00000000 -b504f32e -00000000 -55555559 -55555555 -5555555b -55555555 -55555560 -55555555 -5555555c -55555555 -55555554 -55555555 -55555551 -55555555 -55555558 -55555555 -5555555a -55555555 -5555555f -55555555 -55555556 -55555555 -55555561 -55555555 -5555555d -55555555 -55555555 -55555555 -55555552 -55555555 -aaaaaaae -aaaaaaaa -aaaaaab0 -aaaaaaaa -aaaaaab5 -aaaaaaaa -aaaaaab1 -aaaaaaaa -aaaaaaa9 -aaaaaaaa -aaaaaaa6 -aaaaaaaa -aaaaaaad -aaaaaaaa -aaaaaaaf -aaaaaaaa -aaaaaab4 -aaaaaaaa -aaaaaaab -aaaaaaaa -aaaaaab6 -aaaaaaaa -aaaaaab2 -aaaaaaaa -aaaaaaaa -aaaaaaaa -aaaaaaa7 -aaaaaaaa -00000009 -00000000 -0000000b -00000000 -00000010 -00000000 -0000000c -00000000 -00000004 -00000000 -00000001 -00000000 -00000008 -00000000 -0000000a -00000000 -0000000f -00000000 -00000006 -00000000 -00000011 -00000000 -0000000d -00000000 -00000002 -00000000 -33333337 -33333333 -33333339 -33333333 -3333333e -33333333 -3333333a -33333333 -33333332 -33333333 -3333332f -33333333 -33333336 -33333333 -33333338 -33333333 -3333333d -33333333 -33333334 -33333333 -3333333f -33333333 -3333333b -33333333 -33333333 -33333333 -33333330 -33333333 -6666666a -66666666 -6666666c -66666666 -66666671 -66666666 -6666666d -66666666 -66666665 -66666666 -66666662 -66666666 -66666669 -66666666 -6666666b -66666666 -66666670 -66666666 -66666667 -66666666 -66666672 -66666666 -4afb0ccd -ffffffff -4afb0cca -ffffffff -b504f337 -00000000 -b504f339 -00000000 -b504f33e -00000000 -b504f33a -00000000 -b504f332 -00000000 -b504f32f -00000000 -b504f336 -00000000 -b504f338 -00000000 -b504f33d -00000000 -b504f334 -00000000 -b504f33f -00000000 -b504f33b -00000000 -b504f333 -00000000 -b504f330 -00000000 -6666666e -66666666 -66666666 -66666666 -66666663 -66666666 -4afb0cd1 -ffffffff -4afb0cd3 -ffffffff -4afb0cd8 -ffffffff -4afb0cd4 -ffffffff -4afb0ccc -ffffffff -4afb0cc9 -ffffffff -4afb0cd0 -ffffffff -4afb0cd2 -ffffffff -4afb0cd7 -ffffffff -4afb0cce -ffffffff -4afb0cd9 -ffffffff -4afb0cd5 -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi16sp-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi16sp-01.reference_output deleted file mode 100644 index 2db3a6676..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi16sp-01.reference_output +++ /dev/null @@ -1,264 +0,0 @@ -00000200 -00000000 -001fff70 -00000000 -0000008f -ffffffc0 -fffffdfe -ffffffff -00000010 -80000000 -00000030 -00000000 -0000008f -80000000 -000000f1 -00000000 -ffff01ef -ffffffff -00000152 -00000000 -ffffffd4 -ffffffff -00000088 -00000000 -00000160 -00000000 -ffffffd0 -ffffffff -00000230 -00000000 -00000270 -00000000 -00000250 -00000000 -00000440 -00000000 -000007b0 -00000000 -00000fb0 -00000000 -00002080 -00000000 -000040f0 -00000000 -00008010 -00000000 -00010020 -00000000 -00020100 -00000000 -00040060 -00000000 -00080050 -00000000 -00100040 -00000000 -00400060 -00000000 -007fff70 -00000000 -00ffffa0 -00000000 -01ffffd0 -00000000 -04000070 -00000000 -08000030 -00000000 -0fffff60 -00000000 -1fffffb0 -00000000 -3ffffe00 -00000000 -7fffffc0 -00000000 -00000020 -00000001 -00000060 -00000002 -ffffffc0 -00000003 -00000050 -00000008 -00000080 -00000010 -ffffffb0 -0000001f -ffffff60 -0000003f -ffffff90 -0000007f -ffffffc0 -000000ff -00000070 -00000200 -00000010 -00000400 -ffffffd0 -000007ff -000001f0 -00001000 -000000f0 -00002000 -00000030 -00004000 -00000070 -00008000 -00000030 -00010000 -00000030 -00020000 -fffffea0 -0003ffff -ffffffd0 -0007ffff -00000080 -00100000 -000001f0 -00200000 -000000f0 -00400000 -ffffffd0 -007fffff -ffffffe0 -00ffffff -00000020 -02000000 -ffffffc0 -03ffffff -00000040 -08000000 -00000020 -10000000 -00000030 -20000000 -00000050 -40000000 -ffffffef -ffdfffff -000001ef -ffc00000 -0000002f -ff800000 -0000007f -ff000000 -ffffff7f -fdffffff -0000014f -fc000000 -ffffffbf -f7ffffff -ffffff8f -efffffff -fffffeff -dfffffff -ffffff9f -bfffffff -55555655 -55555555 -aaaaaa6a -aaaaaaaa -fffffff0 -ffffffff -0000004d -00000000 -0000001b -00000000 -ffffffb7 -ffffffff -0000013f -00000000 -fffffecf -ffffffff -ffffff1f -ffffffff -fffffd7f -ffffffff -fffffebf -ffffffff -fffffe5f -ffffffff -fffffdef -ffffffff -fffff83f -ffffffff -fffff04f -ffffffff -ffffdfef -ffffffff -ffffbeff -ffffffff -ffff806f -ffffffff -fffe008f -ffffffff -fffc007f -ffffffff -fff8008f -ffffffff -ffefffef -ffffffff -ffe0002f -ffffffff -ffc0007f -ffffffff -ff80001f -ffffffff -ff00007f -ffffffff -fe00002f -ffffffff -fbffffaf -ffffffff -f800002f -ffffffff -efffffcf -ffffffff -dfffffbf -ffffffff -bffffeef -ffffffff -7fffff9f -ffffffff -0000006f -ffffffff -ffffff6f -fffffffd -ffffff5f -fffffffb -0000008f -fffffff8 -ffffff5f -ffffffef -000000ff -ffffffe0 -ffffff5f -ffffff7f -ffffffcf -fffffeff -ffffff5f -fffffdff -fffffeef -fffffbff -0000000f -fffff800 -0000006f -fffff000 -0000003f -ffffe000 -000000ff -ffffc000 -0000003f -ffff8000 -000001ef -ffff0000 -ffffff5f -fffdffff -ffffffef -fffbffff -ffffff8f -fff7ffff -ffffffdf -ffefffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi4spn-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi4spn-01.reference_output deleted file mode 100644 index e332d28bd..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddi4spn-01.reference_output +++ /dev/null @@ -1,40 +0,0 @@ -00000200 -00000000 -000003fc -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -000003f8 -00000000 -000003f4 -00000000 -000003ec -00000000 -000002fc -00000000 -000001fc -00000000 -00000154 -00000000 -000002a8 -00000000 -000003dc -00000000 -000003bc -00000000 -0000037c -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddiw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddiw-01.reference_output deleted file mode 100644 index a0517529a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddiw-01.reference_output +++ /dev/null @@ -1,876 +0,0 @@ -00000020 -00000000 -00008007 -00000000 -ffffffff -ffffffff -fffff002 -ffffffff -fffffde9 -ffffffff -ffffffe0 -ffffffff -fffffff8 -ffffffff -ff80001e -ffffffff -00000000 -00000000 -00000005 -00000000 -00000004 -00000000 -00000005 -00000000 -00000004 -00000000 -fff00001 -ffffffff -c0000007 -ffffffff -fffffff4 -ffffffff -00000000 -00000000 -0ffffffb -00000000 -ffffffee -ffffffff -ffffffee -ffffffff -00000019 -00000000 -00000006 -00000000 -00000008 -00000000 -0000000d -00000000 -00000009 -00000000 -00000001 -00000000 -fffffffe -ffffffff -00000005 -00000000 -00000007 -00000000 -0000000c -00000000 -00000003 -00000000 -0000000e -00000000 -0000000a -00000000 -00000002 -00000000 -ffffffff -ffffffff -55555558 -00000000 -5555555a -00000000 -5555555f -00000000 -5555555b -00000000 -55555553 -00000000 -55555550 -00000000 -55555557 -00000000 -55555559 -00000000 -5555555e -00000000 -55555555 -00000000 -55555560 -00000000 -5555555c -00000000 -55555554 -00000000 -55555551 -00000000 -aaaaaaad -ffffffff -aaaaaaaf -ffffffff -aaaaaab4 -ffffffff -aaaaaab0 -ffffffff -aaaaaaa8 -ffffffff -aaaaaaa5 -ffffffff -aaaaaaac -ffffffff -aaaaaaae -ffffffff -aaaaaab3 -ffffffff -aaaaaaaa -ffffffff -aaaaaab5 -ffffffff -aaaaaab1 -ffffffff -aaaaaaa9 -ffffffff -aaaaaaa6 -ffffffff -00000008 -00000000 -0000000a -00000000 -0000000f -00000000 -0000000b -00000000 -00000003 -00000000 -00000000 -00000000 -00000007 -00000000 -00000009 -00000000 -0000000e -00000000 -00000005 -00000000 -00000010 -00000000 -0000000c -00000000 -00000004 -00000000 -00000001 -00000000 -33333336 -00000000 -33333338 -00000000 -3333333d -00000000 -33333339 -00000000 -33333331 -00000000 -3333332e -00000000 -33333335 -00000000 -33333337 -00000000 -3333333c -00000000 -33333333 -00000000 -3333333e -00000000 -3333333a -00000000 -33333332 -00000000 -3333332f -00000000 -66666669 -00000000 -6666666b -00000000 -66666670 -00000000 -6666666c -00000000 -66666664 -00000000 -66666661 -00000000 -66666668 -00000000 -6666666a -00000000 -6666666f -00000000 -66666666 -00000000 -66666671 -00000000 -6666666d -00000000 -66666665 -00000000 -66666662 -00000000 -4afb0cd0 -00000000 -4afb0cd2 -00000000 -4afb0cd7 -00000000 -4afb0cd3 -00000000 -4afb0ccb -00000000 -4afb0cc8 -00000000 -4afb0ccf -00000000 -4afb0cd1 -00000000 -4afb0cd6 -00000000 -4afb0ccd -00000000 -4afb0cd8 -00000000 -4afb0cd4 -00000000 -4afb0ccc -00000000 -4afb0cc9 -00000000 -b504f336 -ffffffff -b504f338 -ffffffff -b504f33d -ffffffff -b504f339 -ffffffff -b504f331 -ffffffff -b504f32e -ffffffff -b504f335 -ffffffff -b504f337 -ffffffff -b504f33c -ffffffff -b504f333 -ffffffff -b504f33e -ffffffff -b504f33a -ffffffff -b504f332 -ffffffff -b504f32f -ffffffff -00000005 -00000000 -00000007 -00000000 -0000000c -00000000 -00000008 -00000000 -00000000 -00000000 -fffffffd -ffffffff -00000004 -00000000 -00000006 -00000000 -0000000b -00000000 -00000002 -00000000 -0000000d -00000000 -00000009 -00000000 -00000001 -00000000 -fffffffe -ffffffff -55555557 -00000000 -55555559 -00000000 -5555555e -00000000 -5555555a -00000000 -55555552 -00000000 -5555554f -00000000 -55555556 -00000000 -55555558 -00000000 -5555555d -00000000 -55555554 -00000000 -5555555f -00000000 -5555555b -00000000 -55555553 -00000000 -55555550 -00000000 -00000003 -00000000 -00000005 -00000000 -0000000a -00000000 -00000006 -00000000 -fffffffe -ffffffff -fffffffb -ffffffff -00000002 -00000000 -00000009 -00000000 -00000000 -00000000 -0000000b -00000000 -00000007 -00000000 -ffffffff -ffffffff -fffffffc -ffffffff -00000007 -00000000 -00000009 -00000000 -0000000e -00000000 -0000000a -00000000 -00000002 -00000000 -ffffffff -ffffffff -00000006 -00000000 -00000008 -00000000 -0000000d -00000000 -00000004 -00000000 -0000000f -00000000 -0000000b -00000000 -00000003 -00000000 -00000000 -00000000 -33333335 -00000000 -33333337 -00000000 -3333333c -00000000 -33333338 -00000000 -33333330 -00000000 -3333332d -00000000 -33333334 -00000000 -33333336 -00000000 -3333333b -00000000 -33333332 -00000000 -3333333d -00000000 -33333339 -00000000 -33333331 -00000000 -3333332e -00000000 -66666668 -00000000 -6666666a -00000000 -6666666f -00000000 -6666666b -00000000 -66666663 -00000000 -66666660 -00000000 -66666667 -00000000 -66666669 -00000000 -6666666e -00000000 -66666665 -00000000 -66666670 -00000000 -6666666c -00000000 -66666664 -00000000 -66666661 -00000000 -b504f335 -ffffffff -b504f337 -ffffffff -b504f33c -ffffffff -b504f338 -ffffffff -b504f330 -ffffffff -b504f32d -ffffffff -b504f334 -ffffffff -b504f336 -ffffffff -b504f33b -ffffffff -b504f332 -ffffffff -b504f33d -ffffffff -b504f339 -ffffffff -b504f331 -ffffffff -b504f32e -ffffffff -55555559 -00000000 -5555555b -00000000 -55555560 -00000000 -5555555c -00000000 -55555554 -00000000 -55555551 -00000000 -55555558 -00000000 -5555555a -00000000 -5555555f -00000000 -55555556 -00000000 -55555561 -00000000 -5555555d -00000000 -55555555 -00000000 -55555552 -00000000 -aaaaaaae -ffffffff -aaaaaab0 -ffffffff -aaaaaab5 -ffffffff -aaaaaab1 -ffffffff -aaaaaaa9 -ffffffff -aaaaaaa6 -ffffffff -aaaaaaad -ffffffff -aaaaaaaf -ffffffff -aaaaaab4 -ffffffff -aaaaaaab -ffffffff -aaaaaab6 -ffffffff -aaaaaab2 -ffffffff -aaaaaaaa -ffffffff -aaaaaaa7 -ffffffff -00000009 -00000000 -0000000b -00000000 -00000010 -00000000 -0000000c -00000000 -00000004 -00000000 -00000001 -00000000 -00000008 -00000000 -0000000a -00000000 -0000000f -00000000 -00000006 -00000000 -00000011 -00000000 -0000000d -00000000 -00000005 -00000000 -00000002 -00000000 -33333337 -00000000 -33333339 -00000000 -3333333e -00000000 -3333333a -00000000 -33333332 -00000000 -3333332f -00000000 -33333336 -00000000 -33333338 -00000000 -3333333d -00000000 -33333334 -00000000 -3333333f -00000000 -3333333b -00000000 -33333333 -00000000 -33333330 -00000000 -6666666a -00000000 -6666666c -00000000 -66666671 -00000000 -6666666d -00000000 -66666665 -00000000 -66666662 -00000000 -66666669 -00000000 -6666666b -00000000 -66666670 -00000000 -66666667 -00000000 -66666672 -00000000 -6666666e -00000000 -66666666 -00000000 -66666663 -00000000 -4afb0cd1 -00000000 -4afb0cd3 -00000000 -4afb0cd8 -00000000 -4afb0cd4 -00000000 -4afb0ccc -00000000 -4afb0cc9 -00000000 -4afb0cd0 -00000000 -4afb0cd2 -00000000 -4afb0cd7 -00000000 -4afb0cce -00000000 -4afb0cd9 -00000000 -4afb0cd5 -00000000 -4afb0ccd -00000000 -4afb0cca -00000000 -b504f337 -ffffffff -b504f339 -ffffffff -b504f33e -ffffffff -b504f33a -ffffffff -b504f332 -ffffffff -b504f32f -ffffffff -b504f336 -ffffffff -b504f338 -ffffffff -b504f33d -ffffffff -b504f334 -ffffffff -b504f33f -ffffffff -b504f33b -ffffffff -b504f333 -ffffffff -b504f330 -ffffffff -fffffff2 -ffffffff -00000026 -00000000 -00000037 -00000000 -00000080 -00000000 -000000fc -00000000 -000001ff -00000000 -000003fc -00000000 -00000806 -00000000 -00001005 -00000000 -00002002 -00000000 -00003ffd -00000000 -0000fffb -00000000 -0001fffa -00000000 -00040002 -00000000 -00080005 -00000000 -00100004 -00000000 -00200008 -00000000 -00400006 -00000000 -007ffff7 -00000000 -00fffffe -00000000 -02000006 -00000000 -04000006 -00000000 -08000000 -00000000 -1ffffff9 -00000000 -3fffffef -00000000 -80000000 -ffffffff -fffffffe -ffffffff -fffffffb -ffffffff -00000002 -00000000 -00000003 -00000000 -00000004 -00000000 -00000004 -00000000 -0000000f -00000000 -00000010 -00000000 -00000005 -00000000 -0000000f -00000000 -00000002 -00000000 -fffffff8 -ffffffff -00000005 -00000000 -fffffff6 -ffffffff -00000010 -00000000 -00000005 -00000000 -00000006 -00000000 -fffffff9 -ffffffff -0000000b -00000000 -00000004 -00000000 -00000007 -00000000 -0000001f -00000000 -00000004 -00000000 -00000005 -00000000 -00000002 -00000000 -00000015 -00000000 -ffffffe0 -ffffffff -fffffff6 -ffffffff -00000007 -00000000 -fffffffa -ffffffff -fffffffd -ffffffff -ffffffff -ffffffff -fffffff0 -ffffffff -ffffffdd -ffffffff -ffffffc3 -ffffffff -ffffff81 -ffffffff -ffffff0e -ffffffff -fffffbe9 -ffffffff -fffff801 -ffffffff -ffffe01e -ffffffff -ffffc003 -ffffffff -ffff7fff -ffffffff -ffff0003 -ffffffff -fffe0004 -ffffffff -fffc0009 -ffffffff -fff8001e -ffffffff -ffdffffd -ffffffff -ffbfffff -ffffffff -ff00000a -ffffffff -fdfffff8 -ffffffff -fc000003 -ffffffff -f8000000 -ffffffff -f0000004 -ffffffff -00000000 -00000000 -00000005 -00000000 -fffffffb -ffffffff -00000002 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000008 -00000000 -00000005 -00000000 -ffffffff -ffffffff -00000009 -00000000 -00000003 -00000000 -fffffffd -ffffffff -fffffff8 -ffffffff -e0000001 -ffffffff -80000008 -ffffffff -0000000f -00000000 -0000001e -00000000 -fffffff6 -ffffffff -fffffff7 -ffffffff -00000003 -00000000 -00000014 -00000000 -00000003 -00000000 -00000004 -00000000 -fffffff5 -ffffffff -00000002 -00000000 -00000014 -00000000 -00000001 -00000000 -fffffffe -ffffffff -ffffffdf -ffffffff -fffffffd -ffffffff -0000001e -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddw-01.reference_output deleted file mode 100644 index 694ffc03c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/caddw-01.reference_output +++ /dev/null @@ -1,1356 +0,0 @@ -ffffffff -ffffffff -ffffffec -ffffffff -7ffffffe -00000000 -fffff800 -ffffffff -fffffffd -ffffffff -00000100 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -40000008 -00000000 -66666677 -00000000 -00000020 -00000000 -0000003f -00000000 -333333b2 -00000000 -fff001ff -ffffffff -00000400 -00000000 -02000800 -00000000 -00001004 -00000000 -ffffffff -ffffffff -00004005 -00000000 -00008000 -00000000 -0000ffff -00000000 -4afd0ccd -00000000 -00040003 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -003fffff -00000000 -00800000 -00000000 -00fffff9 -00000000 -01fffffa -00000000 -08000000 -00000000 -07fffffc -00000000 -11000000 -00000000 -75555555 -00000000 -40000006 -00000000 -7fffffff -00000000 -01000000 -00000000 -fffeffff -ffffffff -00000000 -00000000 -fdffffff -ffffffff -00000004 -00000000 -fffffff8 -ffffffff -00000005 -00000000 -fffffff8 -ffffffff -ffffefff -ffffffff -ffffffff -ffffffff -4afb0cce -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -4afb0cce -00000000 -7fffffff -00000000 -fffffff6 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffeffff -ffffffff -00000000 -00000000 -aaaaaaaa -ffffffff -66666665 -00000000 -55555555 -00000000 -20000000 -00000000 -aaaaaaaa -ffffffff -00000000 -00000000 -fdffffff -ffffffff -00000004 -00000000 -00000000 -00000000 -00000003 -00000000 -4afb0cc9 -00000000 -000007f7 -00000000 -ffffffee -ffffffff -ffffffdf -ffffffff -ffffffbc -ffffffff -ffffff7c -ffffffff -0ffffeff -00000000 -fffffcfe -ffffffff -fffffbff -ffffffff -ff7ff7fe -ffffffff -fffff0ff -ffffffff -ffffdfff -ffffffff -efffbffe -ffffffff -ffff7ffe -ffffffff -66656665 -00000000 -fffdbffe -ffffffff -fffc0008 -ffffffff -fff3fffe -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffc03fff -ffffffff -aa2aaaaa -ffffffff -ff00001f -ffffffff -fdfffff7 -ffffffff -ffffffff -ffffffff -f7ffffff -ffffffff -f0000001 -ffffffff -dfffffff -ffffffff -bffffffc -ffffffff -800000ff -ffffffff -00000fff -00000000 -fffffbfe -ffffffff -fffffffb -ffffffff -fffefffe -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -fffffffe -ffffffff -ffff7ffe -ffffffff -fff7fffe -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -0001ffff -00000000 -55555555 -00000000 -fff7fffe -ffffffff -fffffffe -ffffffff -00000004 -00000000 -00003fff -00000000 -fffffff5 -ffffffff -fffffffe -ffffffff -fffff7fe -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -fffffffe -ffffffff -ffffffde -ffffffff -fffffffe -ffffffff -33333331 -00000000 -55555154 -00000000 -aaaaabaa -ffffffff -00000008 -00000000 -4afb0cde -00000000 -00000040 -00000000 -0000007d -00000000 -000000ff -00000000 -00000400 -00000000 -00001fff -00000000 -00006fff -00000000 -0000ffff -00000000 -0003ffff -00000000 -0007ffff -00000000 -00100000 -00000000 -00200000 -00000000 -003fffff -00000000 -007ffff7 -00000000 -b2aaaaab -ffffffff -80000000 -ffffffff -ffffffff -ffffffff -33333332 -00000000 -ffdfffff -ffffffff -01000000 -00000000 -ffffbfff -ffffffff -efffffff -ffffffff -55555556 -00000000 -66666666 -00000000 -00000000 -00000000 -bfffffff -ffffffff -4afb0ccd -00000000 -fffffffb -ffffffff -00000009 -00000000 -01000000 -00000000 -ffffdfff -ffffffff -aaaaaaaa -ffffffff -aaaaaaab -ffffffff -fffffffd -ffffffff -fffffffd -ffffffff -fffffff3 -ffffffff -ffffbfee -ffffffff -55555515 -00000000 -ffffff85 -ffffffff -fffffdff -ffffffff -fffdffff -ffffffff -ffdffffe -ffffffff -55155554 -00000000 -ff01ffff -ffffffff -fbfffffe -ffffffff -f7ffffff -ffffffff -dfffdffe -ffffffff -bffffffe -ffffffff -ffffffee -ffffffff -66666664 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -fffffff7 -ffffffff -b504f331 -ffffffff -fffffffe -ffffffff -fffffffe -ffffffff -66666666 -00000000 -effffffe -ffffffff -fffffff9 -ffffffff -fffffffe -ffffffff -ffeffffe -ffffffff -4afb0ccc -00000000 -00000006 -00000000 -55555558 -00000000 -aaaaaaad -ffffffff -00000008 -00000000 -33333336 -00000000 -66666669 -00000000 -4afb0cd0 -00000000 -b504f336 -ffffffff -00000005 -00000000 -55555557 -00000000 -00000003 -00000000 -00000007 -00000000 -33333335 -00000000 -66666668 -00000000 -b504f335 -ffffffff -55555559 -00000000 -aaaaaaae -ffffffff -00000009 -00000000 -33333337 -00000000 -6666666a -00000000 -4afb0cd1 -00000000 -b504f337 -ffffffff -55555558 -00000000 -aaaaaaaa -ffffffff -ffffffff -ffffffff -5555555a -00000000 -88888888 -ffffffff -bbbbbbbb -ffffffff -a0506222 -ffffffff -0a5a4888 -00000000 -55555557 -00000000 -aaaaaaa9 -ffffffff -55555555 -00000000 -55555559 -00000000 -88888887 -ffffffff -bbbbbbba -ffffffff -0a5a4887 -00000000 -aaaaaaab -ffffffff -00000000 -00000000 -5555555b -00000000 -88888889 -ffffffff -bbbbbbbc -ffffffff -a0506223 -ffffffff -0a5a4889 -00000000 -aaaaaaad -ffffffff -ffffffff -ffffffff -55555554 -00000000 -aaaaaaaf -ffffffff -dddddddd -ffffffff -11111110 -00000000 -f5a5b777 -ffffffff -5faf9ddd -00000000 -aaaaaaac -ffffffff -fffffffe -ffffffff -aaaaaaaa -ffffffff -aaaaaaae -ffffffff -dddddddc -ffffffff -1111110f -00000000 -5faf9ddc -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaab0 -ffffffff -ddddddde -ffffffff -11111111 -00000000 -f5a5b778 -ffffffff -5faf9dde -00000000 -00000008 -00000000 -5555555a -00000000 -aaaaaaaf -ffffffff -0000000a -00000000 -33333338 -00000000 -6666666b -00000000 -4afb0cd2 -00000000 -b504f338 -ffffffff -00000007 -00000000 -55555559 -00000000 -00000005 -00000000 -00000009 -00000000 -33333337 -00000000 -6666666a -00000000 -b504f337 -ffffffff -5555555b -00000000 -aaaaaab0 -ffffffff -0000000b -00000000 -33333339 -00000000 -6666666c -00000000 -4afb0cd3 -00000000 -b504f339 -ffffffff -33333336 -00000000 -88888888 -ffffffff -dddddddd -ffffffff -33333338 -00000000 -66666666 -00000000 -99999999 -ffffffff -7e2e4000 -00000000 -e8382666 -ffffffff -33333335 -00000000 -88888887 -ffffffff -33333333 -00000000 -33333337 -00000000 -66666665 -00000000 -99999998 -ffffffff -e8382665 -ffffffff -88888889 -ffffffff -ddddddde -ffffffff -33333339 -00000000 -66666667 -00000000 -9999999a -ffffffff -7e2e4001 -00000000 -e8382667 -ffffffff -66666669 -00000000 -bbbbbbbb -ffffffff -11111110 -00000000 -6666666b -00000000 -99999999 -ffffffff -cccccccc -ffffffff -b1617333 -ffffffff -1b6b5999 -00000000 -66666668 -00000000 -bbbbbbba -ffffffff -66666666 -00000000 -6666666a -00000000 -99999998 -ffffffff -cccccccb -ffffffff -1b6b5998 -00000000 -bbbbbbbc -ffffffff -11111111 -00000000 -6666666c -00000000 -9999999a -ffffffff -cccccccd -ffffffff -b1617334 -ffffffff -1b6b599a -00000000 -4afb0cd0 -00000000 -a0506222 -ffffffff -f5a5b777 -ffffffff -4afb0cd2 -00000000 -7e2e4000 -00000000 -b1617333 -ffffffff -95f6199a -ffffffff -00000000 -00000000 -4afb0ccf -00000000 -a0506221 -ffffffff -4afb0ccd -00000000 -4afb0cd1 -00000000 -7e2e3fff -00000000 -b1617332 -ffffffff -ffffffff -ffffffff -a0506223 -ffffffff -f5a5b778 -ffffffff -4afb0cd3 -00000000 -7e2e4001 -00000000 -b1617334 -ffffffff -95f6199b -ffffffff -00000001 -00000000 -b504f336 -ffffffff -0a5a4888 -00000000 -5faf9ddd -00000000 -b504f338 -ffffffff -e8382666 -ffffffff -1b6b5999 -00000000 -00000000 -00000000 -6a09e666 -00000000 -b504f335 -ffffffff -0a5a4887 -00000000 -b504f333 -ffffffff -b504f337 -ffffffff -e8382665 -ffffffff -1b6b5998 -00000000 -6a09e665 -00000000 -0a5a4889 -00000000 -5faf9dde -00000000 -b504f339 -ffffffff -e8382667 -ffffffff -1b6b599a -00000000 -00000001 -00000000 -6a09e667 -00000000 -00000005 -00000000 -55555557 -00000000 -aaaaaaac -ffffffff -00000007 -00000000 -33333335 -00000000 -66666668 -00000000 -4afb0ccf -00000000 -b504f335 -ffffffff -00000004 -00000000 -55555556 -00000000 -00000002 -00000000 -00000006 -00000000 -33333334 -00000000 -66666667 -00000000 -b504f334 -ffffffff -55555558 -00000000 -aaaaaaad -ffffffff -00000008 -00000000 -33333336 -00000000 -66666669 -00000000 -4afb0cd0 -00000000 -b504f336 -ffffffff -55555557 -00000000 -aaaaaaa9 -ffffffff -fffffffe -ffffffff -55555559 -00000000 -88888887 -ffffffff -bbbbbbba -ffffffff -a0506221 -ffffffff -0a5a4887 -00000000 -55555556 -00000000 -aaaaaaa8 -ffffffff -55555554 -00000000 -55555558 -00000000 -88888886 -ffffffff -bbbbbbb9 -ffffffff -0a5a4886 -00000000 -aaaaaaaa -ffffffff -ffffffff -ffffffff -5555555a -00000000 -88888888 -ffffffff -bbbbbbbb -ffffffff -a0506222 -ffffffff -0a5a4888 -00000000 -00000003 -00000000 -55555555 -00000000 -aaaaaaaa -ffffffff -00000005 -00000000 -33333333 -00000000 -66666666 -00000000 -4afb0ccd -00000000 -b504f333 -ffffffff -00000002 -00000000 -aaaaaaae -ffffffff -00000009 -00000000 -33333337 -00000000 -6666666a -00000000 -4afb0cd1 -00000000 -b504f337 -ffffffff -00000006 -00000000 -55555558 -00000000 -00000004 -00000000 -00000008 -00000000 -33333336 -00000000 -66666669 -00000000 -b504f336 -ffffffff -5555555a -00000000 -aaaaaaaf -ffffffff -0000000a -00000000 -33333338 -00000000 -6666666b -00000000 -4afb0cd2 -00000000 -b504f338 -ffffffff -33333335 -00000000 -88888887 -ffffffff -dddddddc -ffffffff -33333337 -00000000 -66666665 -00000000 -99999998 -ffffffff -7e2e3fff -00000000 -e8382665 -ffffffff -33333334 -00000000 -88888886 -ffffffff -33333332 -00000000 -33333336 -00000000 -66666664 -00000000 -99999997 -ffffffff -e8382664 -ffffffff -88888888 -ffffffff -dddddddd -ffffffff -33333338 -00000000 -66666666 -00000000 -99999999 -ffffffff -7e2e4000 -00000000 -e8382666 -ffffffff -66666668 -00000000 -bbbbbbba -ffffffff -1111110f -00000000 -6666666a -00000000 -99999998 -ffffffff -cccccccb -ffffffff -b1617332 -ffffffff -1b6b5998 -00000000 -66666667 -00000000 -bbbbbbb9 -ffffffff -66666665 -00000000 -66666669 -00000000 -99999997 -ffffffff -ccccccca -ffffffff -1b6b5997 -00000000 -bbbbbbbb -ffffffff -11111110 -00000000 -6666666b -00000000 -99999999 -ffffffff -cccccccc -ffffffff -b1617333 -ffffffff -1b6b5999 -00000000 -b504f335 -ffffffff -0a5a4887 -00000000 -5faf9ddc -00000000 -b504f337 -ffffffff -e8382665 -ffffffff -1b6b5998 -00000000 -ffffffff -ffffffff -6a09e665 -00000000 -b504f334 -ffffffff -0a5a4886 -00000000 -b504f332 -ffffffff -b504f336 -ffffffff -e8382664 -ffffffff -1b6b5997 -00000000 -6a09e664 -00000000 -0a5a4888 -00000000 -5faf9ddd -00000000 -b504f338 -ffffffff -e8382666 -ffffffff -1b6b5999 -00000000 -00000000 -00000000 -6a09e666 -00000000 -55555559 -00000000 -aaaaaaab -ffffffff -00000000 -00000000 -5555555b -00000000 -88888889 -ffffffff -bbbbbbbc -ffffffff -a0506223 -ffffffff -0a5a4889 -00000000 -55555558 -00000000 -aaaaaaaa -ffffffff -55555556 -00000000 -5555555a -00000000 -88888888 -ffffffff -bbbbbbbb -ffffffff -0a5a4888 -00000000 -aaaaaaac -ffffffff -00000001 -00000000 -5555555c -00000000 -8888888a -ffffffff -bbbbbbbd -ffffffff -a0506224 -ffffffff -0a5a488a -00000000 -aaaaaaae -ffffffff -00000000 -00000000 -55555555 -00000000 -aaaaaab0 -ffffffff -ddddddde -ffffffff -11111111 -00000000 -f5a5b778 -ffffffff -5faf9dde -00000000 -aaaaaaad -ffffffff -ffffffff -ffffffff -aaaaaaab -ffffffff -aaaaaaaf -ffffffff -dddddddd -ffffffff -11111110 -00000000 -5faf9ddd -00000000 -00000001 -00000000 -55555556 -00000000 -aaaaaab1 -ffffffff -dddddddf -ffffffff -11111112 -00000000 -f5a5b779 -ffffffff -5faf9ddf -00000000 -00000009 -00000000 -5555555b -00000000 -aaaaaab0 -ffffffff -0000000b -00000000 -33333339 -00000000 -6666666c -00000000 -4afb0cd3 -00000000 -b504f339 -ffffffff -00000008 -00000000 -5555555a -00000000 -00000006 -00000000 -0000000a -00000000 -33333338 -00000000 -6666666b -00000000 -b504f338 -ffffffff -5555555c -00000000 -aaaaaab1 -ffffffff -0000000c -00000000 -3333333a -00000000 -6666666d -00000000 -4afb0cd4 -00000000 -b504f33a -ffffffff -33333337 -00000000 -88888889 -ffffffff -ddddddde -ffffffff -33333339 -00000000 -66666667 -00000000 -9999999a -ffffffff -7e2e4001 -00000000 -e8382667 -ffffffff -33333336 -00000000 -88888888 -ffffffff -33333334 -00000000 -33333338 -00000000 -66666666 -00000000 -99999999 -ffffffff -e8382666 -ffffffff -8888888a -ffffffff -dddddddf -ffffffff -3333333a -00000000 -66666668 -00000000 -9999999b -ffffffff -7e2e4002 -00000000 -e8382668 -ffffffff -6666666a -00000000 -bbbbbbbc -ffffffff -11111111 -00000000 -6666666c -00000000 -9999999a -ffffffff -cccccccd -ffffffff -b1617334 -ffffffff -1b6b599a -00000000 -66666669 -00000000 -bbbbbbbb -ffffffff -66666667 -00000000 -6666666b -00000000 -99999999 -ffffffff -cccccccc -ffffffff -1b6b5999 -00000000 -bbbbbbbd -ffffffff -11111112 -00000000 -6666666d -00000000 -9999999b -ffffffff -ccccccce -ffffffff -b1617335 -ffffffff -1b6b599b -00000000 -4afb0cd1 -00000000 -a0506223 -ffffffff -f5a5b778 -ffffffff -4afb0cd3 -00000000 -7e2e4001 -00000000 -b1617334 -ffffffff -95f6199b -ffffffff -00000001 -00000000 -4afb0cd0 -00000000 -a0506222 -ffffffff -4afb0cce -00000000 -4afb0cd2 -00000000 -7e2e4000 -00000000 -b1617333 -ffffffff -00000000 -00000000 -a0506224 -ffffffff -f5a5b779 -ffffffff -4afb0cd4 -00000000 -7e2e4002 -00000000 -b1617335 -ffffffff -95f6199c -ffffffff -00000002 -00000000 -b504f337 -ffffffff -0a5a4889 -00000000 -5faf9dde -00000000 -b504f339 -ffffffff -e8382667 -ffffffff -1b6b599a -00000000 -00000001 -00000000 -6a09e667 -00000000 -b504f336 -ffffffff -0a5a4888 -00000000 -b504f334 -ffffffff -b504f338 -ffffffff -e8382666 -ffffffff -1b6b5999 -00000000 -6a09e666 -00000000 -0a5a488a -00000000 -5faf9ddf -00000000 -b504f33a -ffffffff -e8382668 -ffffffff -1b6b599b -00000000 -00000002 -00000000 -6a09e668 -00000000 -55555554 -00000000 -00000000 -00000000 -00000004 -00000000 -33333332 -00000000 -66666665 -00000000 -b504f332 -ffffffff -55555556 -00000000 -aaaaaaab -ffffffff -00000006 -00000000 -33333334 -00000000 -66666667 -00000000 -4afb0cce -00000000 -b504f334 -ffffffff -00000007 -00000000 -55555559 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cand-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cand-01.reference_output deleted file mode 100644 index dd19b9232..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cand-01.reference_output +++ /dev/null @@ -1,1344 +0,0 @@ -00000000 -00000000 -ffffffff -fffffdff -00000800 -00000000 -00000000 -00000000 -00000000 -80000000 -00000000 -00000000 -ffffffbf -7fffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000000 -00000000 -00000000 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00000000 -00000000 -00002000 -00000000 -00000000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00000000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00800000 -00000000 -01000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08000000 -00000000 -00000000 -00000000 -00000000 -00000000 -40000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000008 -00000000 -00000010 -00000000 -00000000 -00000000 -00000040 -00000000 -00000000 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00000000 -00000000 -00002000 -00000000 -00004000 -00000000 -00000000 -00000000 -00010000 -00000000 -00000000 -00000000 -00040000 -00000000 -00080000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -01000000 -00000000 -00000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -00000000 -00000000 -40000000 -00000006 -00000000 -00000000 -08000000 -00000000 -c0000000 -00000080 -00000000 -ffffffef -ffefffff -ffffffdf -fffff7ff -00000000 -00400000 -fffffcff -ffffffff -00800000 -00000000 -fffff7ff -fffff7ff -00000000 -00000000 -ffffdfff -fbffffff -00010000 -00000000 -efff7fff -ffffffff -fffeffbf -ffffffff -00000000 -40000000 -fffaffff -ffffffff -fff7ffff -7fffffff -ffefefff -ffffffff -00004000 -00000000 -66266667 -66666666 -00000000 -00000004 -00000400 -00000000 -fdbfffff -ffffffff -00000003 -00000000 -00000000 -00000800 -efffffff -ffbfffff -00000004 -00000000 -00000000 -00000000 -00000000 -02000000 -ffffffff -fffffbfe -04000000 -00000000 -fffeffff -fffffffb -ffffffff -fffffff5 -efffffff -ffffffef -00000200 -00000000 -00008000 -00000000 -55555555 -55555555 -33333332 -33333233 -00000000 -20000000 -00002000 -00000000 -ffefffff -fffff7ff -00000006 -00000000 -00000000 -00000200 -ffffffff -ffffbfbf -00000040 -00000000 -00000000 -00004000 -fffffdff -fffdffff -00000000 -00008000 -33333334 -33333333 -55555556 -55455555 -ffffffff -ffdffff7 -00000004 -00000000 -ffffffff -ff7fbfff -00000000 -00800000 -ffffffff -fdffffff -00400000 -00000000 -00000000 -00000400 -00000400 -00000000 -00000000 -00000002 -fffffffc -bfffffff -15555555 -55555555 -aaaaaaaa -aaaaa8aa -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -01000000 -00000000 -02000000 -00000000 -00000000 -00000000 -10000000 -00000000 -20000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000008 -00000000 -00000000 -00000000 -00000100 -00000000 -00001000 -00000000 -00000000 -00000000 -00010000 -00000000 -00000000 -00000000 -00040000 -00000000 -00080000 -00000000 -00000000 -00000000 -00200000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -4afb0cca -ffffffff -ffffffd7 -ffffffff -b504f323 -00000000 -ff7fff7f -ffffffff -66666667 -66666666 -00040000 -00000000 -ffff7ffd -ffffffff -33313334 -33333333 -fffbf7ff -ffffffff -fff7ffff -fffdffff -4adb0cce -ffffffff -00400000 -00000000 -feffffff -ffffffbf -fdffffff -ffffffdf -dfffffff -ffffefff -00000000 -00000040 -fffeffff -fffffffb -ffffffff -ffdfffdf -ffefffff -ffffff7f -b504f333 -00000000 -ffffffff -ffffefff -00004000 -00000000 -ffffffff -f7ff7fff -ffffffff -ffeeffff -00000000 -00000800 -ffffdfff -ffdfffff -ffff7fff -ff7fffff -04000000 -00000000 -00000004 -00000000 -00000002 -00000000 -00000003 -00000000 -00000001 -00000000 -00000002 -00000000 -00000001 -00000000 -00000003 -00000000 -00000002 -00000000 -00000001 -00000000 -00000003 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -00000002 -00000000 -00000002 -00000000 -00000003 -00000000 -00000002 -00000000 -00000000 -00000000 -00000003 -00000000 -00000002 -00000000 -00000000 -00000000 -00000001 -00000000 -55555555 -55555555 -00000000 -00000000 -00000005 -00000000 -11111111 -11111111 -44444444 -44444444 -40510445 -55555555 -15045111 -00000000 -00000000 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -11111110 -11111111 -44444445 -44444444 -15045110 -00000000 -55555554 -55555555 -00000001 -00000000 -00000004 -00000000 -11111114 -11111111 -44444445 -44444444 -40510444 -55555555 -15045114 -00000000 -00000000 -00000000 -aaaaaaaa -aaaaaaaa -00000000 -00000000 -22222222 -22222222 -22222222 -22222222 -0aaa0888 -aaaaaaaa -a000a222 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -22222222 -22222222 -22222220 -22222222 -a000a222 -00000000 -00000002 -00000000 -aaaaaaaa -aaaaaaaa -00000002 -00000000 -22222220 -22222222 -22222222 -22222222 -0aaa088a -aaaaaaaa -a000a220 -00000000 -00000001 -00000000 -00000005 -00000000 -00000000 -00000000 -00000005 -00000000 -00000001 -00000000 -00000004 -00000000 -00000005 -00000000 -00000001 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000005 -00000000 -00000000 -00000000 -00000004 -00000000 -00000001 -00000000 -00000004 -00000000 -00000004 -00000000 -00000005 -00000000 -00000004 -00000000 -00000004 -00000000 -00000003 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -33333333 -33333333 -22222222 -22222222 -02330001 -33333333 -31003333 -00000000 -00000002 -00000000 -11111110 -11111111 -00000000 -00000000 -00000000 -00000000 -33333332 -33333333 -22222221 -22222222 -31003332 -00000000 -11111112 -11111111 -22222223 -22222222 -00000002 -00000000 -33333330 -33333333 -22222223 -22222222 -02330002 -33333333 -31003330 -00000000 -00000002 -00000000 -44444444 -44444444 -22222222 -22222222 -00000004 -00000000 -22222222 -22222222 -66666666 -66666666 -42620444 -66666666 -24046222 -00000000 -00000002 -00000000 -44444444 -44444444 -00000000 -00000000 -00000004 -00000000 -22222222 -22222222 -66666664 -66666666 -24046222 -00000000 -44444446 -44444444 -22222222 -22222222 -00000006 -00000000 -22222224 -22222222 -66666666 -66666666 -42620446 -66666666 -24046224 -00000000 -00000001 -00000000 -40510445 -55555555 -0aaa0888 -aaaaaaaa -00000005 -00000000 -02330001 -33333333 -42620444 -66666666 -4afb0ccd -ffffffff -00000001 -00000000 -00000000 -00000000 -40510444 -55555555 -00000000 -00000000 -00000004 -00000000 -02330000 -33333333 -42620445 -66666666 -00000000 -00000000 -40510444 -55555555 -0aaa0889 -aaaaaaaa -00000004 -00000000 -02330004 -33333333 -42620445 -66666666 -4afb0ccc -ffffffff -00000004 -00000000 -00000003 -00000000 -15045111 -00000000 -a000a222 -00000000 -00000001 -00000000 -31003333 -00000000 -24046222 -00000000 -00000001 -00000000 -b504f333 -00000000 -00000002 -00000000 -15045110 -00000000 -00000000 -00000000 -00000000 -00000000 -31003332 -00000000 -24046221 -00000000 -b504f332 -00000000 -15045112 -00000000 -a000a223 -00000000 -00000002 -00000000 -31003330 -00000000 -24046223 -00000000 -00000002 -00000000 -b504f330 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -11111110 -11111111 -44444444 -44444444 -40510444 -55555555 -15045110 -00000000 -00000000 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -11111110 -11111111 -44444444 -44444444 -15045110 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -11111114 -11111111 -44444444 -44444444 -40510444 -55555555 -15045114 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000002 -00000000 -11111110 -11111111 -22222222 -22222222 -00000000 -00000000 -33333332 -33333333 -22222222 -22222222 -02330000 -33333333 -31003332 -00000000 -00000002 -00000000 -11111110 -11111111 -00000000 -00000000 -00000000 -00000000 -33333332 -33333333 -22222220 -22222222 -31003332 -00000000 -11111112 -11111111 -22222222 -22222222 -00000002 -00000000 -33333330 -33333333 -22222222 -22222222 -02330002 -33333333 -31003330 -00000000 -00000001 -00000000 -44444445 -44444444 -22222220 -22222222 -00000005 -00000000 -22222221 -22222222 -66666664 -66666666 -42620445 -66666666 -24046221 -00000000 -00000000 -00000000 -44444444 -44444444 -00000000 -00000000 -00000004 -00000000 -22222220 -22222222 -66666665 -66666666 -24046220 -00000000 -44444444 -44444444 -22222221 -22222222 -00000004 -00000000 -22222224 -22222222 -66666665 -66666666 -42620444 -66666666 -24046224 -00000000 -00000002 -00000000 -15045110 -00000000 -a000a222 -00000000 -00000000 -00000000 -31003332 -00000000 -24046222 -00000000 -00000000 -00000000 -b504f332 -00000000 -00000002 -00000000 -15045110 -00000000 -00000000 -00000000 -00000000 -00000000 -31003332 -00000000 -24046220 -00000000 -b504f332 -00000000 -15045112 -00000000 -a000a222 -00000000 -00000002 -00000000 -31003330 -00000000 -24046222 -00000000 -00000002 -00000000 -b504f330 -00000000 -00000002 -00000000 -55555554 -55555555 -00000002 -00000000 -00000004 -00000000 -11111112 -11111111 -44444446 -44444444 -40510444 -55555555 -15045112 -00000000 -00000002 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -11111112 -11111111 -44444444 -44444444 -15045112 -00000000 -55555556 -55555555 -00000002 -00000000 -00000006 -00000000 -11111114 -11111111 -44444446 -44444444 -40510446 -55555555 -15045114 -00000000 -00000003 -00000000 -00000001 -00000000 -aaaaaaaa -aaaaaaaa -00000001 -00000000 -22222223 -22222222 -22222222 -22222222 -0aaa0889 -aaaaaaaa -a000a223 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -22222222 -22222222 -22222221 -22222222 -a000a222 -00000000 -00000002 -00000000 -aaaaaaab -aaaaaaaa -00000002 -00000000 -22222220 -22222222 -22222223 -22222222 -0aaa088a -aaaaaaaa -a000a220 -00000000 -00000002 -00000000 -00000004 -00000000 -00000002 -00000000 -00000004 -00000000 -00000002 -00000000 -00000006 -00000000 -00000004 -00000000 -00000002 -00000000 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000002 -00000000 -00000004 -00000000 -00000002 -00000000 -00000006 -00000000 -00000002 -00000000 -00000006 -00000000 -00000004 -00000000 -00000006 -00000000 -00000006 -00000000 -00000004 -00000000 -00000000 -00000000 -11111114 -11111111 -22222220 -22222222 -00000004 -00000000 -33333330 -33333333 -22222224 -22222222 -02330004 -33333333 -31003330 -00000000 -00000000 -00000000 -11111114 -11111111 -00000000 -00000000 -00000004 -00000000 -33333330 -33333333 -22222224 -22222222 -31003330 -00000000 -11111114 -11111111 -22222220 -22222222 -00000004 -00000000 -33333334 -33333333 -22222224 -22222222 -02330004 -33333333 -31003334 -00000000 -00000003 -00000000 -44444445 -44444444 -22222222 -22222222 -00000005 -00000000 -22222223 -22222222 -66666666 -66666666 -42620445 -66666666 -24046223 -00000000 -00000002 -00000000 -44444444 -44444444 -00000000 -00000000 -00000004 -00000000 -22222222 -22222222 -66666665 -66666666 -24046222 -00000000 -44444446 -44444444 -22222223 -22222222 -00000006 -00000000 -22222224 -22222222 -66666667 -66666666 -42620446 -66666666 -24046224 -00000000 -00000002 -00000000 -40510444 -55555555 -0aaa088a -aaaaaaaa -00000004 -00000000 -02330002 -33333333 -42620446 -66666666 -4afb0ccc -ffffffff -00000002 -00000000 -00000002 -00000000 -40510444 -55555555 -00000000 -00000000 -00000004 -00000000 -02330002 -33333333 -42620444 -66666666 -00000002 -00000000 -40510446 -55555555 -0aaa088a -aaaaaaaa -00000006 -00000000 -02330004 -33333333 -42620446 -66666666 -4afb0cce -ffffffff -00000004 -00000000 -00000000 -00000000 -15045114 -00000000 -a000a220 -00000000 -00000004 -00000000 -31003330 -00000000 -24046224 -00000000 -00000004 -00000000 -b504f330 -00000000 -00000000 -00000000 -15045114 -00000000 -00000000 -00000000 -00000004 -00000000 -31003330 -00000000 -24046224 -00000000 -b504f330 -00000000 -15045114 -00000000 -a000a220 -00000000 -00000004 -00000000 -31003334 -00000000 -24046224 -00000000 -00000004 -00000000 -b504f334 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/candi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/candi-01.reference_output deleted file mode 100644 index 97587e66d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/candi-01.reference_output +++ /dev/null @@ -1,880 +0,0 @@ -00000009 -00000000 -fffffff5 -ffffffff -00000004 -00000000 -00000003 -00000000 -00002000 -00000000 -00000000 -00000000 -0000001f -00000000 -00000000 -00000000 -00000000 -80000000 -00000005 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000008 -00000000 -00000010 -00000000 -00000003 -00000000 -ffff7ff7 -ffffffff -00000000 -00400000 -00000000 -00000000 -00000000 -00800000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000020 -00000000 -00000000 -00000000 -00000000 -00000000 -00000100 -00000000 -00000000 -00000000 -00000400 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -01000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -20000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000008 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00100000 -00000000 -00200000 -00000000 -01000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -20000000 -00000000 -00000000 -fffffff8 -ffffffff -fffffffd -ffffffff -fffffff8 -ffffffff -00000007 -00000000 -ffffffc0 -ffffffff -00000006 -00000000 -00000007 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000007 -00000000 -00000004 -00000000 -00000004 -00000000 -00000003 -00000000 -fffdfffd -ffffffff -fff7fff6 -ffffffff -ffeffffc -ffffffff -00000003 -00000000 -00000004 -00000000 -ff7fffff -ffffffff -fefffffe -ffffffff -fdfffffa -ffffffff -fbfffffb -ffffffff -f7fffffe -ffffffff -0000000f -00000000 -dffffffc -ffffffff -bfffffef -ffffffff -00000006 -00000000 -fffffffe -fffffffe -fffffffb -fffffffd -00000002 -00000000 -00000000 -00000000 -fffffffd -ffffffdf -00000009 -00000000 -00000006 -00000000 -fffffffe -fffffeff -00000005 -00000000 -ffffffe0 -fffffbff -0000000f -00000000 -00000006 -00000000 -00000005 -00000000 -00000004 -00000000 -fffffffe -ffff7fff -00000005 -00000000 -00000006 -00000000 -0000000f -00000000 -fffffffb -fff7ffff -00000005 -00000000 -00000003 -00000000 -fffffffc -ffbfffff -00000009 -00000000 -00000008 -00000000 -ffffffea -fdffffff -fffffffe -fbffffff -fffffffd -f7ffffff -00000002 -00000000 -ffffffff -dfffffff -55555555 -55555555 -00000008 -00000000 -00000003 -00000000 -00000001 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000003 -00000000 -00000002 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000000 -00000000 -00000001 -00000000 -00000005 -00000000 -00000000 -00000000 -00000004 -00000000 -55555554 -55555555 -55555551 -55555555 -00000000 -00000000 -00000004 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000005 -00000000 -55555554 -55555555 -00000002 -00000000 -00000000 -00000000 -0000000a -00000000 -00000002 -00000000 -aaaaaaaa -aaaaaaaa -aaaaaaaa -aaaaaaaa -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000a -00000000 -00000002 -00000000 -aaaaaaaa -aaaaaaaa -aaaaaaa8 -aaaaaaaa -00000001 -00000000 -00000005 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000001 -00000000 -00000000 -00000000 -00000004 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000004 -00000000 -00000003 -00000000 -00000001 -00000000 -00000002 -00000000 -00000002 -00000000 -33333332 -33333333 -33333333 -33333333 -00000002 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -33333333 -33333333 -33333330 -33333333 -00000002 -00000000 -00000004 -00000000 -00000002 -00000000 -00000006 -00000000 -66666666 -66666666 -66666662 -66666666 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000006 -00000000 -66666666 -66666666 -66666664 -66666666 -00000001 -00000000 -00000005 -00000000 -00000008 -00000000 -00000004 -00000000 -4afb0ccc -ffffffff -4afb0cc9 -ffffffff -00000000 -00000000 -00000004 -00000000 -00000009 -00000000 -00000000 -00000000 -00000009 -00000000 -00000005 -00000000 -4afb0ccd -ffffffff -4afb0ccc -ffffffff -00000003 -00000000 -00000001 -00000000 -00000002 -00000000 -00000002 -00000000 -b504f332 -00000000 -b504f333 -00000000 -00000002 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -b504f333 -00000000 -b504f330 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -55555554 -55555555 -55555550 -55555555 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -55555554 -55555555 -55555554 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -33333332 -33333333 -33333330 -33333333 -00000001 -00000000 -00000005 -00000000 -00000000 -00000000 -00000004 -00000000 -66666664 -66666666 -66666661 -66666666 -00000000 -00000000 -00000004 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000005 -00000000 -66666665 -66666666 -66666664 -66666666 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -b504f332 -00000000 -b504f330 -00000000 -00000002 -00000000 -00000004 -00000000 -00000002 -00000000 -00000006 -00000000 -55555556 -55555555 -55555552 -55555555 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000006 -00000000 -55555556 -55555555 -55555554 -55555555 -00000003 -00000000 -00000001 -00000000 -0000000a -00000000 -00000002 -00000000 -aaaaaaaa -aaaaaaaa -aaaaaaab -aaaaaaaa -00000002 -00000000 -00000009 -00000000 -00000000 -00000000 -0000000b -00000000 -00000003 -00000000 -aaaaaaab -aaaaaaaa -aaaaaaa8 -aaaaaaaa -00000002 -00000000 -00000004 -00000000 -00000002 -00000000 -00000006 -00000000 -00000006 -00000000 -00000002 -00000000 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000006 -00000000 -00000006 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -33333334 -33333333 -33333330 -33333333 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -33333334 -33333333 -33333334 -33333333 -00000003 -00000000 -00000005 -00000000 -00000002 -00000000 -00000006 -00000000 -66666666 -66666666 -66666663 -66666666 -00000002 -00000000 -00000004 -00000000 -00000001 -00000000 -00000000 -00000000 -00000003 -00000000 -4afb0cce -ffffffff -4afb0ccc -ffffffff -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -b504f334 -00000000 -b504f330 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000007 -00000000 -66666667 -66666666 -66666664 -66666666 -00000002 -00000000 -00000004 -00000000 -0000000a -00000000 -00000006 -00000000 -4afb0cce -ffffffff -4afb0cca -ffffffff -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000000 -00000000 -0000000a -00000000 -00000006 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbeqz-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbeqz-01.reference_output deleted file mode 100644 index 649e7c2e1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbeqz-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -ff76df58 -ff76df56 -ff76df5b -ff76df56 -ff76df5d -ff76df56 -ff76df5f -ff76df56 -ff76df61 -ff76df56 -ff76df63 -ff76df56 -ff76df64 -ff76df56 -ff76df66 -ff76df56 -ff76df68 -ff76df56 -ff76df6a -ff76df56 -ff76df6c -ff76df56 -ff76df6e -ff76df56 -ff76df70 -ff76df56 -ff76df72 -ff76df56 -ff76df74 -ff76df56 -ff76df76 -ff76df56 -ff76df78 -ff76df56 -ff76df7a -ff76df56 -ff76df7c -ff76df56 -ff76df7e -ff76df56 -ff76df80 -ff76df56 -ff76df82 -ff76df56 -ff76df84 -ff76df56 -ff76df86 -ff76df56 -ff76df88 -ff76df56 -ff76df8a -ff76df56 -ff76df8c -ff76df56 -ff76df8e -ff76df56 -ff76df90 -ff76df56 -ff76df92 -ff76df56 -ff76df94 -ff76df56 -ff76df96 -ff76df56 -ff76df98 -ff76df56 -ff76df9a -ff76df56 -ff76df9c -ff76df56 -ff76df9e -ff76df56 -ff76dfa0 -ff76df56 -ff76dfa2 -ff76df56 -ff76dfa4 -ff76df56 -ff76dfa6 -ff76df56 -ff76dfa8 -ff76df56 -ff76dfaa -ff76df56 -ff76dfac -ff76df56 -ff76dfae -ff76df56 -ff76dfb0 -ff76df56 -ff76dfb2 -ff76df56 -ff76dfb4 -ff76df56 -ff76dfb6 -ff76df56 -ff76dfb8 -ff76df56 -ff76dfba -ff76df56 -ff76dfbc -ff76df56 -ff76dfbe -ff76df56 -ff76dfc0 -ff76df56 -ff76dfc2 -ff76df56 -ff76dfc4 -ff76df56 -ff76dfc6 -ff76df56 -ff76dfc8 -ff76df56 -ff76dfca -ff76df56 -ff76dfcc -ff76df56 -ff76dfce -ff76df56 -ff76dfd0 -ff76df56 -ff76dfd2 -ff76df56 -ff76dfd4 -ff76df56 -ff76dfd6 -ff76df56 -ff76dfd8 -ff76df56 -ff76dfda -ff76df56 -ff76dfdc -ff76df56 -ff76dfde -ff76df56 -ff76dfe0 -ff76df56 -ff76dfe2 -ff76df56 -ff76dfe4 -ff76df56 -ff76dfe6 -ff76df56 -ff76dfe8 -ff76df56 -ff76dfea -ff76df56 -ff76dfec -ff76df56 -ff76dfee -ff76df56 -ff76dff0 -ff76df56 -ff76dff2 -ff76df56 -ff76dff4 -ff76df56 -ff76dff6 -ff76df56 -ff76dff8 -ff76df56 -ff76dffa -ff76df56 -ff76dffc -ff76df56 -ff76dffe -ff76df56 -ff76e000 -ff76df56 -ff76e002 -ff76df56 -ff76e004 -ff76df56 -ff76e006 -ff76df56 -ff76e008 -ff76df56 -ff76e00a -ff76df56 -ff76e00c -ff76df56 -ff76e00e -ff76df56 -ff76e010 -ff76df56 -ff76e012 -ff76df56 -ff76e014 -ff76df56 -ff76e016 -ff76df56 -ff76e018 -ff76df56 -ff76e01a -ff76df56 -ff76e01c -ff76df56 -ff76e01e -ff76df56 -ff76e020 -ff76df56 -ff76e022 -ff76df56 -ff76e024 -ff76df56 -ff76e026 -ff76df56 -ff76e028 -ff76df56 -ff76e02a -ff76df56 -ff76e02c -ff76df56 -ff76e02e -ff76df56 -ff76e030 -ff76df56 -ff76e032 -ff76df56 -ff76e034 -ff76df56 -ff76e036 -ff76df56 -ff76e038 -ff76df56 -ff76e03a -ff76df56 -ff76e03c -ff76df56 -ff76e03e -ff76df56 -ff76e040 -ff76df56 -ff76e042 -ff76df56 -ff76e044 -ff76df56 -ff76e046 -ff76df56 -ff76e048 -ff76df56 -ff76e04a -ff76df56 -ff76e04c -ff76df56 -ff76e04e -ff76df56 -ff76e050 -ff76df56 -ff76e052 -ff76df56 -ff76e054 -ff76df56 -ff76e056 -ff76df56 -ff76e058 -ff76df56 -ff76e05a -ff76df56 -ff76e05c -ff76df56 -ff76e05e -ff76df56 -ff76e060 -ff76df56 -ff76e062 -ff76df56 -ff76e064 -ff76df56 -ff76e066 -ff76df56 -ff76e068 -ff76df56 -ff76e06a -ff76df56 -ff76e06c -ff76df56 -ff76e06e -ff76df56 -ff76e070 -ff76df56 -ff76e072 -ff76df56 -ff76e074 -ff76df56 -ff76e076 -ff76df56 -ff76e078 -ff76df56 -ff76e07a -ff76df56 -ff76e07c -ff76df56 -ff76e07e -ff76df56 -ff76e080 -ff76df56 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbnez-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbnez-01.reference_output deleted file mode 100644 index 44004550b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cbnez-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -ff76df57 -ff76df56 -ff76df59 -ff76df56 -ff76df5a -ff76df56 -ff76df5b -ff76df56 -ff76df5e -ff76df56 -ff76df61 -ff76df56 -ff76df63 -ff76df56 -ff76df66 -ff76df56 -ff76df67 -ff76df56 -ff76df68 -ff76df56 -ff76df69 -ff76df56 -ff76df6a -ff76df56 -ff76df6d -ff76df56 -ff76df70 -ff76df56 -ff76df73 -ff76df56 -ff76df76 -ff76df56 -ff76df77 -ff76df56 -ff76df78 -ff76df56 -ff76df79 -ff76df56 -ff76df7c -ff76df56 -ff76df7f -ff76df56 -ff76df82 -ff76df56 -ff76df83 -ff76df56 -ff76df86 -ff76df56 -ff76df87 -ff76df56 -ff76df88 -ff76df56 -ff76df8b -ff76df56 -ff76df8c -ff76df56 -ff76df8f -ff76df56 -ff76df92 -ff76df56 -ff76df95 -ff76df56 -ff76df96 -ff76df56 -ff76df99 -ff76df56 -ff76df9a -ff76df56 -ff76df9b -ff76df56 -ff76df9c -ff76df56 -ff76df9d -ff76df56 -ff76df9e -ff76df56 -ff76df9f -ff76df56 -ff76dfa0 -ff76df56 -ff76dfa1 -ff76df56 -ff76dfa4 -ff76df56 -ff76dfa5 -ff76df56 -ff76dfa6 -ff76df56 -ff76dfa9 -ff76df56 -ff76dfaa -ff76df56 -ff76dfab -ff76df56 -ff76dfac -ff76df56 -ff76dfaf -ff76df56 -ff76dfb2 -ff76df56 -ff76dfb5 -ff76df56 -ff76dfb6 -ff76df56 -ff76dfb7 -ff76df56 -ff76dfb8 -ff76df56 -ff76dfbb -ff76df56 -ff76dfbc -ff76df56 -ff76dfbd -ff76df56 -ff76dfc0 -ff76df56 -ff76dfc1 -ff76df56 -ff76dfc4 -ff76df56 -ff76dfc7 -ff76df56 -ff76dfca -ff76df56 -ff76dfcb -ff76df56 -ff76dfce -ff76df56 -ff76dfd1 -ff76df56 -ff76dfd4 -ff76df56 -ff76dfd5 -ff76df56 -ff76dfd8 -ff76df56 -ff76dfd9 -ff76df56 -ff76dfdc -ff76df56 -ff76dfdd -ff76df56 -ff76dfde -ff76df56 -ff76dfdf -ff76df56 -ff76dfe0 -ff76df56 -ff76dfe3 -ff76df56 -ff76dfe6 -ff76df56 -ff76dfe7 -ff76df56 -ff76dfea -ff76df56 -ff76dfed -ff76df56 -ff76dff0 -ff76df56 -ff76dff3 -ff76df56 -ff76dff4 -ff76df56 -ff76dff7 -ff76df56 -ff76dff8 -ff76df56 -ff76dffb -ff76df56 -ff76dffc -ff76df56 -ff76dfff -ff76df56 -ff76e000 -ff76df56 -ff76e001 -ff76df56 -ff76e002 -ff76df56 -ff76e003 -ff76df56 -ff76e004 -ff76df56 -ff76e005 -ff76df56 -ff76e006 -ff76df56 -ff76e009 -ff76df56 -ff76e00a -ff76df56 -ff76e00b -ff76df56 -ff76e00c -ff76df56 -ff76e00d -ff76df56 -ff76e00e -ff76df56 -ff76e00f -ff76df56 -ff76e010 -ff76df56 -ff76e013 -ff76df56 -ff76e014 -ff76df56 -ff76e017 -ff76df56 -ff76e018 -ff76df56 -ff76e01b -ff76df56 -ff76e01c -ff76df56 -ff76e01f -ff76df56 -ff76e020 -ff76df56 -ff76e021 -ff76df56 -ff76e022 -ff76df56 -ff76e023 -ff76df56 -ff76e026 -ff76df56 -ff76e029 -ff76df56 -ff76e02a -ff76df56 -ff76e02d -ff76df56 -ff76e02e -ff76df56 -ff76e02f -ff76df56 -ff76e032 -ff76df56 -ff76e035 -ff76df56 -ff76e036 -ff76df56 -ff76e037 -ff76df56 -ff76e038 -ff76df56 -ff76e03b -ff76df56 -ff76e03c -ff76df56 -ff76e03f -ff76df56 -ff76e042 -ff76df56 -ff76e045 -ff76df56 -ff76e046 -ff76df56 -ff76e047 -ff76df56 -ff76e04a -ff76df56 -ff76e04d -ff76df56 -ff76e04e -ff76df56 -ff76e051 -ff76df56 -ff76e054 -ff76df56 -ff76e057 -ff76df56 -ff76e05a -ff76df56 -ff76e05b -ff76df56 -ff76e05e -ff76df56 -ff76e05f -ff76df56 -ff76e060 -ff76df56 -ff76e061 -ff76df56 -ff76e064 -ff76df56 -ff76e065 -ff76df56 -ff76e068 -ff76df56 -ff76e06b -ff76df56 -ff76e06e -ff76df56 -ff76e071 -ff76df56 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cebreak-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cebreak-01.reference_output deleted file mode 100644 index 91fef5137..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cebreak-01.reference_output +++ /dev/null @@ -1,8 +0,0 @@ -00000000 -11111111 -0000010f -00000000 -00000003 -00000000 -000003a0 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cj-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cj-01.reference_output deleted file mode 100644 index 9a7f95af0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cj-01.reference_output +++ /dev/null @@ -1,36 +0,0 @@ -ff76df59 -ff76df56 -ff76df5a -ff76df56 -ff76df5d -ff76df56 -ff76df60 -ff76df56 -ff76df63 -ff76df56 -ff76df66 -ff76df56 -ff76df69 -ff76df56 -ff76df6c -ff76df56 -ff76df6f -ff76df56 -ff76df70 -ff76df56 -ff76df71 -ff76df56 -ff76df72 -ff76df56 -ff76df75 -ff76df56 -ff76df76 -ff76df56 -ff76df77 -ff76df56 -ff76df78 -ff76df56 -ff76df79 -ff76df56 -ff76df7a -ff76df56 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjalr-01.reference_output deleted file mode 100644 index d982fc98d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjalr-01.reference_output +++ /dev/null @@ -1,64 +0,0 @@ -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -0000000f -00000000 -00000009 -00000000 -0000000f -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjr-01.reference_output deleted file mode 100644 index 2f2b30af8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cjr-01.reference_output +++ /dev/null @@ -1,64 +0,0 @@ -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000013 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cld-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cld-01.reference_output deleted file mode 100644 index 948362034..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cld-01.reference_output +++ /dev/null @@ -1,28 +0,0 @@ -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cldsp-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cldsp-01.reference_output deleted file mode 100644 index 67d1521c6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cldsp-01.reference_output +++ /dev/null @@ -1,64 +0,0 @@ -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -babecafe -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cli-01.reference_output deleted file mode 100644 index 87e492b52..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cli-01.reference_output +++ /dev/null @@ -1,64 +0,0 @@ -ffffffe0 -ffffffff -00000000 -00000000 -0000001f -00000000 -00000001 -00000000 -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -ffffffea -ffffffff -fffffffe -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -00000015 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clui-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clui-01.reference_output deleted file mode 100644 index ab6452d51..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clui-01.reference_output +++ /dev/null @@ -1,64 +0,0 @@ -fffef000 -ffffffff -0001f000 -00000000 -ffff7000 -ffffffff -00011000 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00015000 -00000000 -fffea000 -ffffffff -00010000 -00000000 -fffe0000 -ffffffff -ffffe000 -ffffffff -ffffd000 -ffffffff -ffffb000 -ffffffff -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00000000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00001000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clw-01.reference_output deleted file mode 100644 index fc36c1e86..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clw-01.reference_output +++ /dev/null @@ -1,28 +0,0 @@ -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clwsp-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clwsp-01.reference_output deleted file mode 100644 index a2230c8a0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/clwsp-01.reference_output +++ /dev/null @@ -1,64 +0,0 @@ -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -babecafe -ffffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cmv-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cmv-01.reference_output deleted file mode 100644 index aea12cbc2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cmv-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -00000000 -80000000 -00000000 -00000000 -ffffffff -7fffffff -00000001 -00000000 -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000000 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -01000000 -00000000 -02000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -20000000 -00000000 -40000000 -00000000 -80000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -01000000 -00000000 -02000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -20000000 -00000000 -40000000 -fffffffe -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffff7f -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffff7fff -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -fffbffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffffffff -dfffffff -ffffffff -bfffffff -55555555 -55555555 -aaaaaaaa -aaaaaaaa -00000003 -00000000 -00000005 -00000000 -33333333 -33333333 -66666666 -66666666 -4afb0ccd -ffffffff -b504f333 -00000000 -55555554 -55555555 -33333332 -33333333 -66666665 -66666666 -b504f332 -00000000 -55555556 -55555555 -aaaaaaab -aaaaaaaa -00000006 -00000000 -33333334 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f334 -00000000 -ffdfffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -ffffffff -7fffffff -ffffffff -ffffffff -fffffffe -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffff7f -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffff7fff -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -fffbffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -efffffff -00000020 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cnop-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cnop-01.reference_output deleted file mode 100644 index 43e0ceb3d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cnop-01.reference_output +++ /dev/null @@ -1,28 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cor-01.reference_output deleted file mode 100644 index 5d8a6af6a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cor-01.reference_output +++ /dev/null @@ -1,1360 +0,0 @@ -02000000 -80000000 -00000000 -80000000 -ffffffff -ffffffff -00000005 -00000000 -fffdffff -ffffffff -ffffffff -ffffffff -ffffff7f -ffffffff -00000002 -00000200 -dfffffff -ffffffff -0000000d -00000000 -00000019 -00000000 -00000023 -00000000 -ffff7fff -ffffffff -02000080 -00000000 -00000100 -00000000 -00000200 -10000000 -ffffffff -ffffffbf -00000840 -00000000 -00001100 -00000000 -00002000 -00080000 -00004000 -00000010 -00808000 -00000000 -ffffffff -fffdffff -fbffffff -ffffffff -00040002 -00000000 -00080010 -00000000 -b514f334 -00000000 -fdffffff -ffffffff -ff7fffff -ffffffff -ffffffff -fffffff7 -ffffffbf -ffffffff -02000000 -c0000000 -04000004 -00000000 -08020000 -00000000 -10000004 -00000000 -60000000 -00000000 -4afb0ccd -ffffffff -80020000 -00000000 -ffffffff -fdffffff -ffffffff -efffffff -00000005 -00000004 -4afb0ccd -ffffffff -00000006 -00000010 -00000400 -00000020 -33333334 -33333373 -00000007 -00000080 -00000002 -00000100 -ffffffff -ffffbfff -00000000 -00000408 -efffffff -ffffffff -33333332 -33333333 -fffdffff -ffffffff -55555556 -55555555 -00000000 -00008008 -01000000 -00010000 -fffbffff -ffffffff -ffefffff -ffffffff -00000008 -00080000 -ffffffff -ffffff7f -00000000 -01200000 -00000003 -00400000 -33333333 -33b33333 -4afb0ccd -ffffffff -33333333 -33333333 -00000000 -04400000 -ffffffff -ffff7fff -20000000 -10000000 -08000000 -20000000 -fffffff8 -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -fffffffb -ffffffff -ffffffff -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffffff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -ffffffff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffffffff -ffffffff -fffeffff -ffffffff -ffffffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -ffffffff -7fffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffffff -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffff7fff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -55555557 -55555555 -eeeeeeee -eeeeeeee -ffffffff -f7ffffff -fffdffff -ffffffff -00000800 -00000000 -fffeffff -ffffffff -ffffffff -fffff7ff -dfffffff -ffffffff -00040000 -00000000 -feffffff -ffffffff -ffdfffff -ffffffff -7fffffff -ffffffff -a0000000 -00000000 -00000008 -00000001 -00004000 -00000002 -fff7ffff -ffffffff -ffffffff -dfffffff -ffffffff -fffffeff -00000003 -00000080 -00000000 -00040100 -66666666 -66666666 -00000008 -00000800 -00000002 -00001000 -00000000 -10002000 -b504f334 -00004000 -00000000 -00088000 -ffefffff -ffffffff -ffffffff -ffdfffff -ffffffff -ffffffff -40000000 -08000000 -00100000 -20000000 -00000000 -40000080 -fffffffe -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffdf -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fff7ffff -ffffffff -ffdfffff -ffffffff -bfffffff -ffffffff -7fffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffb -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffeff -ffffffff -ffffffff -ffffffff -fffffbff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -dfffffff -ffffffff -ffffffff -00000003 -00000000 -aaaaaaab -aaaaaaaa -00000007 -00000000 -33333333 -33333333 -66666667 -66666666 -4afb0ccf -ffffffff -b504f333 -00000000 -00000003 -00000000 -55555557 -55555555 -00000003 -00000000 -00000007 -00000000 -33333333 -33333333 -66666667 -66666666 -b504f333 -00000000 -55555557 -55555555 -aaaaaaab -aaaaaaaa -00000007 -00000000 -33333337 -33333333 -66666667 -66666666 -4afb0ccf -ffffffff -b504f337 -00000000 -55555557 -55555555 -55555555 -55555555 -ffffffff -ffffffff -55555555 -55555555 -77777777 -77777777 -77777777 -77777777 -5fff5ddd -ffffffff -f555f777 -55555555 -55555557 -55555555 -55555555 -55555555 -55555555 -55555555 -55555555 -55555555 -77777777 -77777777 -77777775 -77777777 -f555f777 -55555555 -55555557 -55555555 -ffffffff -ffffffff -55555557 -55555555 -77777775 -77777777 -77777777 -77777777 -5fff5ddf -ffffffff -f555f775 -55555555 -aaaaaaab -aaaaaaaa -ffffffff -ffffffff -aaaaaaaa -aaaaaaaa -aaaaaaaf -aaaaaaaa -bbbbbbbb -bbbbbbbb -eeeeeeee -eeeeeeee -eafbaeef -ffffffff -bfaefbbb -aaaaaaaa -aaaaaaaa -aaaaaaaa -fffffffe -ffffffff -aaaaaaaa -aaaaaaaa -aaaaaaae -aaaaaaaa -bbbbbbba -bbbbbbbb -eeeeeeef -eeeeeeee -bfaefbba -aaaaaaaa -fffffffe -ffffffff -aaaaaaab -aaaaaaaa -aaaaaaae -aaaaaaaa -bbbbbbbe -bbbbbbbb -eeeeeeef -eeeeeeee -eafbaeee -ffffffff -bfaefbbe -aaaaaaaa -00000007 -00000000 -55555555 -55555555 -aaaaaaaf -aaaaaaaa -00000005 -00000000 -33333337 -33333333 -66666667 -66666666 -4afb0ccd -ffffffff -b504f337 -00000000 -00000007 -00000000 -55555555 -55555555 -00000005 -00000000 -00000005 -00000000 -33333337 -33333333 -66666665 -66666666 -b504f337 -00000000 -55555557 -55555555 -aaaaaaaf -aaaaaaaa -00000007 -00000000 -33333335 -33333333 -66666667 -66666666 -4afb0ccf -ffffffff -b504f335 -00000000 -33333333 -33333333 -77777777 -77777777 -bbbbbbbb -bbbbbbbb -33333337 -33333333 -33333333 -33333333 -77777777 -77777777 -7bfb3fff -ffffffff -b737f333 -33333333 -33333333 -33333333 -77777777 -77777777 -33333333 -33333333 -33333337 -33333333 -33333333 -33333333 -77777777 -77777777 -b737f333 -33333333 -77777777 -77777777 -bbbbbbbb -bbbbbbbb -33333337 -33333333 -33333337 -33333333 -77777777 -77777777 -7bfb3fff -ffffffff -b737f337 -33333333 -66666667 -66666666 -77777777 -77777777 -66666667 -66666666 -77777777 -77777777 -66666666 -66666666 -6eff6eef -ffffffff -f766f777 -66666666 -66666666 -66666666 -77777776 -77777777 -66666666 -66666666 -66666666 -66666666 -77777776 -77777777 -66666667 -66666666 -f766f776 -66666666 -77777776 -77777777 -eeeeeeef -eeeeeeee -66666666 -66666666 -77777776 -77777777 -66666667 -66666666 -6eff6eee -ffffffff -f766f776 -66666666 -4afb0ccf -ffffffff -5fff5ddd -ffffffff -eafbaeef -ffffffff -4afb0ccd -ffffffff -7bfb3fff -ffffffff -6eff6eef -ffffffff -4afb0ccd -ffffffff -ffffffff -ffffffff -4afb0ccf -ffffffff -5fff5ddd -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -7bfb3fff -ffffffff -6eff6eed -ffffffff -ffffffff -ffffffff -5fff5ddf -ffffffff -eafbaeef -ffffffff -4afb0ccf -ffffffff -7bfb3ffd -ffffffff -6eff6eef -ffffffff -4afb0ccf -ffffffff -fffffffd -ffffffff -b504f333 -00000000 -f555f777 -55555555 -bfaefbbb -aaaaaaaa -b504f337 -00000000 -b737f333 -33333333 -f766f777 -66666666 -ffffffff -ffffffff -b504f333 -00000000 -b504f333 -00000000 -f555f777 -55555555 -b504f333 -00000000 -b504f337 -00000000 -b737f333 -33333333 -f766f777 -66666666 -b504f333 -00000000 -f555f777 -55555555 -bfaefbbb -aaaaaaaa -b504f337 -00000000 -b737f337 -33333333 -f766f777 -66666666 -ffffffff -ffffffff -b504f337 -00000000 -00000003 -00000000 -55555557 -55555555 -aaaaaaaa -aaaaaaaa -00000007 -00000000 -33333333 -33333333 -66666666 -66666666 -4afb0ccf -ffffffff -b504f333 -00000000 -00000002 -00000000 -55555556 -55555555 -00000002 -00000000 -00000006 -00000000 -33333332 -33333333 -66666667 -66666666 -b504f332 -00000000 -55555556 -55555555 -aaaaaaab -aaaaaaaa -00000006 -00000000 -33333336 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f336 -00000000 -55555557 -55555555 -55555555 -55555555 -fffffffe -ffffffff -55555555 -55555555 -77777777 -77777777 -77777776 -77777777 -5fff5ddd -ffffffff -f555f777 -55555555 -55555556 -55555555 -55555554 -55555555 -55555554 -55555555 -55555554 -55555555 -77777776 -77777777 -77777775 -77777777 -f555f776 -55555555 -55555556 -55555555 -ffffffff -ffffffff -55555556 -55555555 -77777774 -77777777 -77777777 -77777777 -5fff5dde -ffffffff -f555f774 -55555555 -00000003 -00000000 -55555555 -55555555 -aaaaaaaa -aaaaaaaa -00000005 -00000000 -33333333 -33333333 -66666666 -66666666 -4afb0ccd -ffffffff -b504f333 -00000000 -00000002 -00000000 -aaaaaaae -aaaaaaaa -00000005 -00000000 -33333337 -33333333 -66666666 -66666666 -4afb0ccd -ffffffff -b504f337 -00000000 -00000006 -00000000 -55555554 -55555555 -00000004 -00000000 -00000004 -00000000 -33333336 -33333333 -66666665 -66666666 -b504f336 -00000000 -55555556 -55555555 -aaaaaaaf -aaaaaaaa -00000006 -00000000 -33333334 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f334 -00000000 -33333333 -33333333 -77777777 -77777777 -bbbbbbba -bbbbbbbb -33333337 -33333333 -33333333 -33333333 -77777776 -77777777 -7bfb3fff -ffffffff -b737f333 -33333333 -33333332 -33333333 -77777776 -77777777 -33333332 -33333333 -33333336 -33333333 -33333332 -33333333 -77777777 -77777777 -b737f332 -33333333 -77777776 -77777777 -bbbbbbbb -bbbbbbbb -33333336 -33333333 -33333336 -33333333 -77777777 -77777777 -7bfb3ffe -ffffffff -b737f336 -33333333 -66666667 -66666666 -77777775 -77777777 -eeeeeeef -eeeeeeee -66666665 -66666666 -77777777 -77777777 -66666667 -66666666 -6eff6eed -ffffffff -f766f777 -66666666 -66666667 -66666666 -77777775 -77777777 -66666665 -66666666 -66666665 -66666666 -77777777 -77777777 -66666665 -66666666 -f766f777 -66666666 -77777777 -77777777 -eeeeeeef -eeeeeeee -66666667 -66666666 -77777775 -77777777 -66666667 -66666666 -6eff6eef -ffffffff -f766f775 -66666666 -b504f333 -00000000 -f555f777 -55555555 -bfaefbba -aaaaaaaa -b504f337 -00000000 -b737f333 -33333333 -f766f776 -66666666 -ffffffff -ffffffff -b504f333 -00000000 -b504f332 -00000000 -f555f776 -55555555 -b504f332 -00000000 -b504f336 -00000000 -b737f332 -33333333 -f766f777 -66666666 -b504f332 -00000000 -f555f776 -55555555 -bfaefbbb -aaaaaaaa -b504f336 -00000000 -b737f336 -33333333 -f766f777 -66666666 -fffffffe -ffffffff -b504f336 -00000000 -55555557 -55555555 -55555557 -55555555 -fffffffe -ffffffff -55555557 -55555555 -77777777 -77777777 -77777776 -77777777 -5fff5ddf -ffffffff -f555f777 -55555555 -55555556 -55555555 -55555556 -55555555 -55555556 -55555555 -55555556 -55555555 -77777776 -77777777 -77777777 -77777777 -f555f776 -55555555 -55555556 -55555555 -ffffffff -ffffffff -55555556 -55555555 -77777776 -77777777 -77777777 -77777777 -5fff5dde -ffffffff -f555f776 -55555555 -aaaaaaab -aaaaaaaa -ffffffff -ffffffff -aaaaaaab -aaaaaaaa -aaaaaaaf -aaaaaaaa -bbbbbbbb -bbbbbbbb -eeeeeeef -eeeeeeee -eafbaeef -ffffffff -bfaefbbb -aaaaaaaa -aaaaaaab -aaaaaaaa -ffffffff -ffffffff -aaaaaaab -aaaaaaaa -aaaaaaaf -aaaaaaaa -bbbbbbbb -bbbbbbbb -eeeeeeef -eeeeeeee -bfaefbbb -aaaaaaaa -ffffffff -ffffffff -aaaaaaab -aaaaaaaa -aaaaaaaf -aaaaaaaa -bbbbbbbf -bbbbbbbb -eeeeeeef -eeeeeeee -eafbaeef -ffffffff -bfaefbbf -aaaaaaaa -00000007 -00000000 -55555557 -55555555 -aaaaaaae -aaaaaaaa -00000007 -00000000 -33333337 -33333333 -66666666 -66666666 -4afb0ccf -ffffffff -b504f337 -00000000 -00000006 -00000000 -55555556 -55555555 -00000006 -00000000 -00000006 -00000000 -33333336 -33333333 -66666667 -66666666 -b504f336 -00000000 -55555556 -55555555 -aaaaaaaf -aaaaaaaa -00000006 -00000000 -33333336 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f336 -00000000 -33333337 -33333333 -77777775 -77777777 -bbbbbbbe -bbbbbbbb -33333335 -33333333 -33333337 -33333333 -77777776 -77777777 -7bfb3ffd -ffffffff -b737f337 -33333333 -33333336 -33333333 -77777774 -77777777 -33333334 -33333333 -33333334 -33333333 -33333336 -33333333 -77777775 -77777777 -b737f336 -33333333 -77777776 -77777777 -bbbbbbbf -bbbbbbbb -33333336 -33333333 -33333334 -33333333 -77777777 -77777777 -7bfb3ffe -ffffffff -b737f334 -33333333 -66666667 -66666666 -77777777 -77777777 -eeeeeeef -eeeeeeee -66666667 -66666666 -77777777 -77777777 -66666667 -66666666 -6eff6eef -ffffffff -f766f777 -66666666 -66666667 -66666666 -77777777 -77777777 -66666667 -66666666 -66666667 -66666666 -77777777 -77777777 -66666667 -66666666 -f766f777 -66666666 -77777777 -77777777 -eeeeeeef -eeeeeeee -66666667 -66666666 -77777777 -77777777 -66666667 -66666666 -6eff6eef -ffffffff -f766f777 -66666666 -4afb0ccf -ffffffff -5fff5ddf -ffffffff -eafbaeee -ffffffff -4afb0ccf -ffffffff -7bfb3fff -ffffffff -6eff6eee -ffffffff -4afb0ccf -ffffffff -ffffffff -ffffffff -4afb0cce -ffffffff -5fff5dde -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -7bfb3ffe -ffffffff -6eff6eef -ffffffff -fffffffe -ffffffff -5fff5dde -ffffffff -eafbaeef -ffffffff -4afb0cce -ffffffff -7bfb3ffe -ffffffff -6eff6eef -ffffffff -4afb0cce -ffffffff -fffffffe -ffffffff -b504f337 -00000000 -f555f775 -55555555 -bfaefbbe -aaaaaaaa -b504f335 -00000000 -b737f337 -33333333 -f766f776 -66666666 -fffffffd -ffffffff -b504f337 -00000000 -b504f336 -00000000 -f555f774 -55555555 -b504f334 -00000000 -b504f334 -00000000 -b737f336 -33333333 -f766f775 -66666666 -b504f336 -00000000 -f555f776 -55555555 -bfaefbbf -aaaaaaaa -b504f336 -00000000 -b737f334 -33333333 -f766f777 -66666666 -fffffffe -ffffffff -b504f334 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -33333332 -33333333 -66666665 -66666666 -b504f332 -00000000 -55555556 -55555555 -aaaaaaab -aaaaaaaa -00000006 -00000000 -33333334 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f334 -00000000 -00000007 -00000000 -55555555 -55555555 -00000000 -80000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csd-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csd-01.reference_output deleted file mode 100644 index 681f90d60..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csd-01.reference_output +++ /dev/null @@ -1,264 +0,0 @@ -00000000 -80000000 -00000000 -00000000 -ffffffff -7fffffff -00000001 -00000000 -ffffffff -ffdfffff -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -01000000 -00000000 -02000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -20000000 -00000000 -40000000 -00000000 -80000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -01000000 -00000000 -02000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -20000000 -00000000 -40000000 -fffffffe -ffffffff -fffffffd -ffffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -55555555 -55555555 -aaaaaaaa -aaaaaaaa -fffffff8 -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffff7f -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffff7fff -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -fffbffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -ffffffff -7fffffff -ffffffff -ffffffff -fffffffe -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffff7f -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffff7fff -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -fffbffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csdsp-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csdsp-01.reference_output deleted file mode 100644 index 5fdc2bcac..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csdsp-01.reference_output +++ /dev/null @@ -1,264 +0,0 @@ -00000000 -80000000 -00000000 -00000000 -ffffffff -7fffffff -00000001 -00000000 -ffffffdf -ffffffff -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -01000000 -00000000 -02000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -20000000 -00000000 -40000000 -00000000 -80000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000004 -00000000 -00000008 -00000000 -00000010 -00000000 -00000020 -00000000 -00000040 -00000000 -00000080 -00000000 -00000100 -00000000 -00000200 -00000000 -00000400 -00000000 -00000800 -00000000 -00001000 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -01000000 -00000000 -02000000 -00000000 -04000000 -00000000 -08000000 -00000000 -10000000 -00000000 -20000000 -00000000 -40000000 -fffffffe -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -55555555 -55555555 -aaaaaaaa -aaaaaaaa -ffffffbf -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffff7f -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffff7fff -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -fffbffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -efffffff -ffffffff -dfffffff -ffffffff -bfffffff -ffffffff -7fffffff -ffffffff -ffffffff -fffffffe -ffffffff -fffffffd -ffffffff -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffef -ffffffff -ffffffdf -ffffffff -ffffffbf -ffffffff -ffffff7f -ffffffff -fffffeff -ffffffff -fffffdff -ffffffff -fffffbff -ffffffff -fffff7ff -ffffffff -ffffefff -ffffffff -ffffdfff -ffffffff -ffffbfff -ffffffff -ffff7fff -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -fffbffff -ffffffff -fff7ffff -ffffffff -ffefffff -ffffffff -ffdfffff -ffffffff -ffbfffff -ffffffff -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cslli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cslli-01.reference_output deleted file mode 100644 index 522eaeb56..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cslli-01.reference_output +++ /dev/null @@ -1,296 +0,0 @@ -fffe0000 -fbffffff -04f33400 -000000b5 -00000800 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -00001000 -00000000 -00000000 -01000000 -00000000 -00000002 -00000200 -00000000 -00008000 -00000000 -00000200 -00000000 -00002000 -00000000 -00010000 -00000000 -00000000 -00000000 -00080000 -00000000 -40000000 -00000000 -00000000 -00000000 -00000000 -00000001 -20000000 -00000000 -00000000 -00000001 -00000000 -00000000 -80000000 -00000000 -08000000 -00000000 -00000000 -00000002 -00000000 -00100000 -00000000 -00200000 -00000000 -00000020 -00000000 -00000004 -02000000 -00000000 -00000000 -00000000 -00000000 -00000010 -00000000 -00010000 -00000000 -00000020 -00000000 -00000100 -00000000 -00020000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000080 -00000000 -00000040 -00000000 -00004000 -00000000 -00000400 -00000000 -00000000 -00000000 -00000400 -00000000 -00000000 -00000000 -00100000 -00000000 -00000000 -00000000 -00020000 -00000000 -00040000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -80000000 -00000000 -00000000 -00000000 -08000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00400000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffc00 -ffffffff -fffffa00 -ffffffff -ffff6000 -ffffffff -fffffee0 -ffffffff -ffffff78 -ffffffff -ffbe0000 -ffffffff -ffbf0000 -ffffffff -fdfc0000 -ffffffff -ffffdfe0 -ffffffff -fffffbfe -ffffffff -ffff7fe0 -ffffffff -00000000 -80000000 -00000000 -ffbffc00 -00000000 -ffffdfff -7ffe0000 -ffffffff -ffdfffc0 -ffffffff -fffbfffc -ffffffff -00000000 -f7fffc00 -fdffff80 -ffffffff -fffffff0 -dfffffff -ffffffe0 -7fffffff -ffff0000 -ffffffff -00000000 -e0000000 -00000000 -c0000000 -00000000 -f8000000 -55555540 -55555555 -55554000 -55555555 -00018000 -00000000 -80000000 -00000002 -00000000 -99800000 -cccc0000 -cccccccc -00000000 -ec333400 -27999800 -000005a8 -55555550 -55555555 -66640000 -66666666 -99994000 -99999999 -d413ccc8 -00000002 -aaab0000 -aaaaaaaa -aaab0000 -aaaaaaaa -00000300 -00000000 -ccccccd0 -cccccccc -9999c000 -99999999 -00000000 -c0000000 -fffc0000 -ffffffdf -fefffff0 -ffffffff -ffffe000 -fffffffb -fffc0000 -fffffeff -f7fffff0 -ffffffff -fff80000 -fffff7ff -fffc0000 -fffff7ff -fffffc00 -ffffffef -ffff0000 -fffff7ff -00000000 -ffff8000 -00000000 -80000000 -fffffe00 -ffffff7f -fffc0000 -fffdffff -00000000 -e0000000 -00000000 -e0000000 -ffffff00 -fffffbff -ffffffe0 -fffffeff -ffff0000 -ffefffff -fffc0000 -ff7fffff -ffff0000 -ffbfffff -ffffff00 -ffff7fff -00000000 -ff800000 -fffffc00 -ffefffff -00000000 -e0000000 -fffffffc -ffffbfff -00000000 -ff800000 -00000000 -ff800000 -00000000 -ff800000 -00000000 -f8000000 -80000000 -ffffffff -fffffffc -ffefffff -fffffff0 -ff7fffff -fffff000 -ffffffff -00000000 -fffffc00 -00000000 -e0000000 -00000000 -ff800000 -fffffff8 -f7ffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrai-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrai-01.reference_output deleted file mode 100644 index 1d9e7bb20..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrai-01.reference_output +++ /dev/null @@ -1,296 +0,0 @@ -ffffbfff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -fffc0000 -00000000 -00000000 -7fffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000080 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000800 -00000000 -00000000 -00000000 -00000000 -00000000 -00002000 -00000000 -00020000 -00000000 -00000200 -00000000 -00000020 -00000000 -00000008 -00000000 -00020000 -00000000 -00000000 -00000000 -00100000 -00000000 -00000020 -00000000 -01000000 -00000000 -00040000 -00000000 -00200000 -00000000 -00020000 -00000000 -40000000 -00000000 -00000000 -00000000 -00400000 -00000000 -00100000 -00000000 -00080000 -00000000 -00200000 -00000000 -00000000 -00000001 -80000000 -00000000 -00080000 -00000000 -00000000 -00000002 -00000000 -00000100 -20000000 -00000000 -00000000 -00000800 -10000000 -00000000 -00000010 -00000000 -00010000 -00000000 -00000000 -00000000 -00000000 -00000040 -00080000 -00000000 -00000000 -00020000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000020 -00000000 -00200000 -00000000 -01000000 -00000000 -00000800 -00000000 -00000000 -00000000 -00000000 -00000000 -00100000 -00000000 -00040000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffd -ffffffff -ffffffbf -ffffffff -ffffffdf -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffbff -ffffffff -ffffff7f -ffffffff -fdffffff -ffffffff -ffffffff -ffffffff -fffdffff -ffffffff -ffbfffff -000000aa -00000000 -aaaaaaaa -ffeaaaaa -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -cccccccc -00000000 -ffffffff -ffffffff -00000000 -00000000 -aaaaaaaa -0000aaaa -66666666 -00006666 -00000001 -00000000 -00000000 -00000000 -55555555 -01555555 -aaaaaaaa -fffaaaaa -00000000 -00000000 -33333333 -00000000 -99999999 -00019999 -fff4afb0 -ffffffff -0002d413 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffdfffff -ffffffff -ffffffff -ffffffff -fffffff7 -ffffffff -fffff7ff -ffffffff -ff7fffff -ffffffff -ffffefff -ffffffff -fffeffff -ffffffff -fffeffff -ffffffff -ffffefff -ffffffff -ffffffff -ffffffff -ffffbfff -ffffffff -ffffffff -ffffffff -fdffffff -ffffffff -ffefffff -ffffffff -fffbffff -ffffffff -ffefffff -ffffffff -ffffffff -ffffffef -ffffffff -ffffffff -fffffbff -ffffffff -ffffffff -fffffff7 -ffffffff -ffffffff -fbffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffdfff -ffffffff -ffffffff -ffffffff -fffffeff -ffffffff -ffffffdf -ffffffff -ffffff7f -ffffffff -fffffffb -ffffffff -fffffeff -ffffffff -ffffffff -ffffffff -fffdffff -ffffffff -ffbfffff -ffffffff -fffffbff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrli-01.reference_output deleted file mode 100644 index 34c72c144..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csrli-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -ffffffff -1fff7fff -00002000 -00000000 -00000000 -00000000 -00000000 -00001000 -00000000 -00000000 -ffffffff -007fffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000040 -00000000 -00000000 -00000000 -00000040 -00000000 -00000010 -00000000 -00000200 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00002000 -00000000 -00010000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000020 -00000000 -00000020 -00000000 -00040000 -00000000 -00002000 -00000000 -00000800 -00000000 -00000000 -00000000 -00000400 -00000000 -00000000 -00000000 -00200000 -00000000 -00000000 -00000000 -00400000 -00000000 -00040000 -00000000 -00000000 -00000001 -00020000 -00000000 -00000000 -00000000 -02000000 -00000000 -80000000 -00000000 -00000080 -00000000 -00040000 -00000000 -80000000 -00000000 -00000000 -00000000 -00000000 -00000080 -00000000 -00000020 -00000000 -00000800 -00000000 -00000400 -00000000 -00000020 -00000000 -00004000 -00000002 -00000000 -00000000 -00000008 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000008 -00000000 -00000010 -00000000 -00002000 -00000000 -00000008 -00000000 -00004000 -00000000 -00000000 -00000000 -00001000 -00000002 -00000000 -00000000 -00000000 -00000000 -00200000 -ffffffff -0003ffff -ffffffff -000fffff -ffffffff -03ffffff -ffffffff -00000000 -fffffffd -1fffffff -fffffffb -1fffffff -0001ffff -00000000 -ffffffff -00000000 -00000003 -00000000 -000001ff -00000000 -ffffffff -0007ffff -ffffff7f -0fffffff -ffffffff -0003ffff -ffffffff -00007fff -fffffdff -07ffffff -ffffffbf -007fffff -ffffdfff -1fffffff -ffffffff -00001fff -ffffffff -00000001 -fffffff7 -0000ffff -00000003 -00000000 -000001f7 -00000000 -ffffffff -000007bf -ffffffff -0077ffff -ffffffff -037fffff -ffffffff -0000bfff -aaaaaaaa -00000000 -aaaaaaaa -02aaaaaa -00000000 -00000000 -66666666 -00006666 -cccccccc -000ccccc -000001ff -00000000 -016a09e6 -00000000 -55555555 -00000000 -99999999 -19999999 -33333333 -00033333 -002d413c -00000000 -55555555 -15555555 -aaaaaaaa -00002aaa -00000000 -00000000 -cccccccc -000ccccc -66666666 -00666666 -fa57d866 -07ffffff -00000000 -00000000 -00004000 -00000000 -ffff7fff -07ffffff -ffffffff -00000000 -ffffbfff -00ffffff -00000007 -00000000 -ffbfffff -3fffffff -ffbfffff -1fffffff -fffeffff -003fffff -fffdffff -003fffff -ffff7fff -0007ffff -ffffffff -00000001 -ffffffff -00000000 -00000003 -00000000 -000001ff -00000000 -ffbfffff -001fffff -0001ffff -00000000 -00000001 -00000000 -7fffffff -07ffffff -00000007 -00000000 -fdffffff -0007ffff -efffffff -001fffff -fff7ffff -000007ff -ffffffff -01fffffb -ffffffff -1fffff7f -ffffffff -003ffffd -00000007 -00000000 -ffffbfff -00000001 -ffffffff -000ffffb -ffffffff -7fffbfff -ffffffff -1fffdfff -ffffffff -3fff7fff -ffffffff -07ffbfff -ffffffff -00003ffb -000001ff -00000000 -ffffffff -000ffbff -ffffffff -00001fef -0001fdff -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csub-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csub-01.reference_output deleted file mode 100644 index 70de73b36..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csub-01.reference_output +++ /dev/null @@ -1,1344 +0,0 @@ -00000000 -80001000 -00000000 -00000000 -00000005 -80000000 -ffffffff -007fffff -fe000000 -7fffffff -00000081 -00000000 -ffffffff -7fffbfff -00800002 -00000000 -dffffffd -ffffffff -7ffffffb -ffffffff -fffffff7 -ffefffff -fffffff7 -ffffffff -ffffffdf -ffffff7f -0fffffc0 -00000000 -ffffff7f -ffffffef -fefffeff -ffffffff -fffffe00 -00001fff -fffffbfb -ffffffff -fffff7f8 -ffffffff -00000000 -00000000 -ffffdfff -ffffdfff -ffffc004 -ffffffff -ffff8001 -ffffffff -ffff4000 -ffffffff -fffdffff -fffffeff -fffc0004 -ffffffff -fff7fffa -ffffffff -4aeb0ccd -ffffffff -ffe00800 -ffffffff -ffbfffff -fff7ffff -ff7fffff -fffffffe -feffffff -fffffffd -fbfffffb -ffffffff -f8000000 -03ffffff -f0000000 -0001ffff -e0000004 -ffffffff -c0000004 -ffffffff -80000000 -00000003 -00000000 -00007fff -00200000 -fffffffe -fffffffc -fffffffb -fffffff8 -fffffff7 -ffffffff -ffffffdf -fdffffff -ffffffdf -ffffffbf -ffffffbf -00000000 -007fff80 -00080000 -ffffff00 -00000006 -fffffe00 -ffffffff -ffeffbff -00000000 -07fff800 -ffffffdf -ffffefff -fffffff9 -ffffdfff -ffff7fff -ffff7fff -55555555 -55545555 -efffffff -fffdffff -00000000 -07fc0000 -00000080 -fff80000 -04000000 -fff00000 -ffffffff -ffdffbff -00000000 -ffc00800 -dfffffff -ff7fffff -ffffffff -fefdffff -00400000 -fe000000 -aaaaaaab -a6aaaaaa -4afb0cce -f7ffffff -00000000 -b0000000 -55555554 -35555555 -10000000 -c0000000 -00000001 -ffffc000 -00000003 -00000008 -00004005 -00000000 -20000009 -00000000 -00000011 -00400000 -00000017 -00000000 -00000041 -00000800 -ffe00100 -ffffffff -00000201 -00000080 -ffe00400 -ffffffff -55555d56 -55555555 -00001000 -fc000000 -00002001 -00000002 -00004003 -00000000 -4afb8ccf -ffffffff -00090001 -00000000 -ffe20000 -ffffffff -00040001 -08000000 -00080000 -fffc0000 -f0100000 -ffffffff -f8200000 -ffffffff -00400001 -00010000 -0100000a -00000000 -01fffff8 -00000000 -04000001 -00000010 -07fffff0 -00000000 -76666667 -66666666 -20000001 -10000000 -40000000 -ffffffc0 -80000005 -00000000 -00000008 -00000001 -fffffffb -00000001 -fffffffe -00000003 -ffffffe0 -00000007 -4afb0ccf -0000000f -fc000000 -0000001f -00000000 -ffff0040 -55555556 -555555d5 -00000201 -00000100 -fff00000 -000001ff -00000001 -40000400 -00000000 -f0000800 -00000001 -08001000 -00000000 -e0002000 -00000001 -00005000 -00000001 -01008000 -55555557 -55565555 -00000004 -00020000 -00000001 -000c0000 -00000001 -00080000 -00001001 -00100000 -00000003 -00200000 -b504f335 -00400000 -00000000 -007ffff0 -00000001 -09000000 -00000001 -02000004 -55555557 -59555555 -01000001 -08000000 -55555556 -65555555 -00000005 -20000000 -00000021 -40000000 -aaaaaaab -aaaaabaa -88888889 -88888888 -4afb0cd5 -ffffffff -fffffff0 -ffffffff -00000030 -00000000 -b504f433 -00000000 -00000400 -00000000 -00002000 -fffffe00 -00007fc0 -00000000 -00010000 -fffffffc -00020000 -fffffff8 -00040001 -00000040 -99a9999b -99999999 -00800000 -ffffff80 -02000001 -00080000 -b2aaaaab -aaaaaaaa -44000001 -00000000 -7ff80000 -00000000 -04000001 -00000001 -cccccccd -ccccccec -00000002 -00000040 -fffffffd -000001ff -00000001 -00080400 -00000000 -00000000 -fffffc00 -0003ffff -00000000 -000ff800 -00800001 -00200000 -01000001 -02000000 -00000001 -20200000 -4afb0cca -ffffffff -ffffff78 -ffffffff -00000300 -00000000 -fffffdef -ffffffff -fffffbff -ffbfffff -fffff7ff -feffffff -ffffeffd -ffffffff -ffffe000 -0000ffff -ffffc000 -000003ff -1fff0000 -00000000 -fffe0000 -00ffffff -9995999a -99999999 -fff80000 -7fffffff -ffc00005 -ffffffff -b484f331 -00000000 -bfffffff -ffffdfff -ffffffff -fbfffffb -04000000 -fffffff8 -fffffff9 -ffffffdf -00010000 -fffffe00 -ffffffff -ffffefff -55555554 -55554555 -b504f332 -ffff8000 -00400000 -ffe00000 -fffffeff -ffbfffff -00000020 -ff800000 -00000400 -ff000000 -feffffff -fdffffff -00000800 -f8000000 -fff7ffff -bfffffff -aaaaaaa6 -aaaaaaaa -00000000 -00000000 -aaaaaaae -aaaaaaaa -55555559 -55555555 -fffffffe -ffffffff -ccccccd0 -cccccccc -9999999d -99999999 -b504f336 -00000000 -4afb0cd0 -ffffffff -00000001 -00000000 -aaaaaaaf -aaaaaaaa -00000003 -00000000 -ffffffff -ffffffff -ccccccd1 -cccccccc -9999999e -99999999 -4afb0cd1 -ffffffff -aaaaaaad -aaaaaaaa -55555558 -55555555 -fffffffd -ffffffff -cccccccf -cccccccc -9999999c -99999999 -b504f335 -00000000 -4afb0ccf -ffffffff -55555552 -55555555 -00000000 -00000000 -aaaaaaab -aaaaaaaa -55555550 -55555555 -22222222 -22222222 -eeeeeeef -eeeeeeee -0a5a4888 -55555556 -a0506222 -55555554 -55555553 -55555555 -00000001 -00000000 -55555555 -55555555 -55555551 -55555555 -22222223 -22222222 -eeeeeef0 -eeeeeeee -a0506223 -55555554 -ffffffff -ffffffff -aaaaaaaa -aaaaaaaa -5555554f -55555555 -22222221 -22222222 -eeeeeeee -eeeeeeee -0a5a4887 -55555556 -a0506221 -55555554 -aaaaaaa7 -aaaaaaaa -55555555 -55555555 -00000000 -00000000 -aaaaaaa5 -aaaaaaaa -77777777 -77777777 -44444444 -44444444 -5faf9ddd -aaaaaaab -f5a5b777 -aaaaaaa9 -aaaaaaa8 -aaaaaaaa -55555556 -55555555 -aaaaaaaa -aaaaaaaa -77777778 -77777777 -44444445 -44444444 -f5a5b778 -aaaaaaa9 -55555554 -55555555 -ffffffff -ffffffff -aaaaaaa4 -aaaaaaaa -77777776 -77777777 -44444443 -44444444 -5faf9ddc -aaaaaaab -f5a5b776 -aaaaaaa9 -00000002 -00000000 -aaaaaab0 -aaaaaaaa -5555555b -55555555 -00000000 -00000000 -ccccccd2 -cccccccc -9999999f -99999999 -b504f338 -00000000 -4afb0cd2 -ffffffff -00000003 -00000000 -aaaaaab1 -aaaaaaaa -00000005 -00000000 -00000001 -00000000 -ccccccd3 -cccccccc -999999a0 -99999999 -4afb0cd3 -ffffffff -aaaaaaaf -aaaaaaaa -5555555a -55555555 -ffffffff -ffffffff -ccccccd1 -cccccccc -9999999e -99999999 -b504f337 -00000000 -4afb0cd1 -ffffffff -33333330 -33333333 -ddddddde -dddddddd -3333332e -33333333 -00000000 -00000000 -cccccccd -cccccccc -e8382666 -33333333 -7e2e4000 -33333332 -33333331 -33333333 -dddddddf -dddddddd -33333333 -33333333 -3333332f -33333333 -00000001 -00000000 -ccccccce -cccccccc -7e2e4001 -33333332 -dddddddd -dddddddd -88888888 -88888888 -3333332d -33333333 -ffffffff -ffffffff -cccccccc -cccccccc -e8382665 -33333333 -7e2e3fff -33333332 -66666663 -66666666 -11111111 -11111111 -bbbbbbbc -bbbbbbbb -66666661 -66666666 -33333333 -33333333 -00000000 -00000000 -1b6b5999 -66666667 -b1617333 -66666665 -66666664 -66666666 -11111112 -11111111 -66666666 -66666666 -66666662 -66666666 -33333334 -33333333 -00000001 -00000000 -b1617334 -66666665 -11111110 -11111111 -bbbbbbbb -bbbbbbbb -66666660 -66666666 -33333332 -33333333 -ffffffff -ffffffff -1b6b5998 -66666667 -b1617332 -66666665 -4afb0cca -ffffffff -f5a5b778 -aaaaaaa9 -a0506223 -55555554 -4afb0cc8 -ffffffff -17c7d99a -cccccccc -e494a667 -99999998 -00000000 -00000000 -95f6199a -fffffffe -4afb0ccb -ffffffff -f5a5b779 -aaaaaaa9 -4afb0ccd -ffffffff -4afb0cc9 -ffffffff -17c7d99b -cccccccc -e494a668 -99999998 -95f6199b -fffffffe -f5a5b777 -aaaaaaa9 -a0506222 -55555554 -4afb0cc7 -ffffffff -17c7d999 -cccccccc -e494a666 -99999998 -ffffffff -ffffffff -95f61999 -fffffffe -b504f330 -00000000 -5faf9dde -aaaaaaab -0a5a4889 -55555556 -b504f32e -00000000 -81d1c000 -cccccccd -4e9e8ccd -9999999a -6a09e666 -00000001 -00000000 -00000000 -b504f331 -00000000 -5faf9ddf -aaaaaaab -b504f333 -00000000 -b504f32f -00000000 -81d1c001 -cccccccd -4e9e8cce -9999999a -00000001 -00000000 -5faf9ddd -aaaaaaab -0a5a4888 -55555556 -b504f32d -00000000 -81d1bfff -cccccccd -4e9e8ccc -9999999a -6a09e665 -00000001 -ffffffff -ffffffff -ffffffff -ffffffff -aaaaaaad -aaaaaaaa -55555558 -55555555 -fffffffd -ffffffff -cccccccf -cccccccc -9999999c -99999999 -b504f335 -00000000 -4afb0ccf -ffffffff -00000000 -00000000 -aaaaaaae -aaaaaaaa -00000002 -00000000 -fffffffe -ffffffff -ccccccd0 -cccccccc -9999999d -99999999 -4afb0cd0 -ffffffff -aaaaaaac -aaaaaaaa -55555557 -55555555 -fffffffc -ffffffff -ccccccce -cccccccc -9999999b -99999999 -b504f334 -00000000 -4afb0cce -ffffffff -55555551 -55555555 -ffffffff -ffffffff -aaaaaaaa -aaaaaaaa -5555554f -55555555 -22222221 -22222222 -eeeeeeee -eeeeeeee -0a5a4887 -55555556 -a0506221 -55555554 -55555552 -55555555 -00000000 -00000000 -55555554 -55555555 -55555550 -55555555 -22222222 -22222222 -eeeeeeef -eeeeeeee -a0506222 -55555554 -fffffffe -ffffffff -aaaaaaa9 -aaaaaaaa -5555554e -55555555 -22222220 -22222222 -eeeeeeed -eeeeeeee -0a5a4886 -55555556 -a0506220 -55555554 -fffffffd -ffffffff -aaaaaaab -aaaaaaaa -55555556 -55555555 -fffffffb -ffffffff -cccccccd -cccccccc -9999999a -99999999 -b504f333 -00000000 -4afb0ccd -ffffffff -fffffffe -ffffffff -5555555a -55555555 -ffffffff -ffffffff -ccccccd1 -cccccccc -9999999e -99999999 -b504f337 -00000000 -4afb0cd1 -ffffffff -00000002 -00000000 -aaaaaab0 -aaaaaaaa -00000004 -00000000 -00000000 -00000000 -ccccccd2 -cccccccc -9999999f -99999999 -4afb0cd2 -ffffffff -aaaaaaae -aaaaaaaa -55555559 -55555555 -fffffffe -ffffffff -ccccccd0 -cccccccc -9999999d -99999999 -b504f336 -00000000 -4afb0cd0 -ffffffff -3333332f -33333333 -dddddddd -dddddddd -88888888 -88888888 -3333332d -33333333 -ffffffff -ffffffff -cccccccc -cccccccc -e8382665 -33333333 -7e2e3fff -33333332 -33333330 -33333333 -ddddddde -dddddddd -33333332 -33333333 -3333332e -33333333 -00000000 -00000000 -cccccccd -cccccccc -7e2e4000 -33333332 -dddddddc -dddddddd -88888887 -88888888 -3333332c -33333333 -fffffffe -ffffffff -cccccccb -cccccccc -e8382664 -33333333 -7e2e3ffe -33333332 -66666662 -66666666 -11111110 -11111111 -bbbbbbbb -bbbbbbbb -66666660 -66666666 -33333332 -33333333 -ffffffff -ffffffff -1b6b5998 -66666667 -b1617332 -66666665 -66666663 -66666666 -11111111 -11111111 -66666665 -66666666 -66666661 -66666666 -33333333 -33333333 -00000000 -00000000 -b1617333 -66666665 -1111110f -11111111 -bbbbbbba -bbbbbbbb -6666665f -66666666 -33333331 -33333333 -fffffffe -ffffffff -1b6b5997 -66666667 -b1617331 -66666665 -b504f32f -00000000 -5faf9ddd -aaaaaaab -0a5a4888 -55555556 -b504f32d -00000000 -81d1bfff -cccccccd -4e9e8ccc -9999999a -6a09e665 -00000001 -ffffffff -ffffffff -b504f330 -00000000 -5faf9dde -aaaaaaab -b504f332 -00000000 -b504f32e -00000000 -81d1c000 -cccccccd -4e9e8ccd -9999999a -00000000 -00000000 -5faf9ddc -aaaaaaab -0a5a4887 -55555556 -b504f32c -00000000 -81d1bffe -cccccccd -4e9e8ccb -9999999a -6a09e664 -00000001 -fffffffe -ffffffff -55555553 -55555555 -00000001 -00000000 -aaaaaaac -aaaaaaaa -55555551 -55555555 -22222223 -22222222 -eeeeeef0 -eeeeeeee -0a5a4889 -55555556 -a0506223 -55555554 -55555554 -55555555 -00000002 -00000000 -55555556 -55555555 -55555552 -55555555 -22222224 -22222222 -eeeeeef1 -eeeeeeee -a0506224 -55555554 -00000000 -00000000 -aaaaaaab -aaaaaaaa -55555550 -55555555 -22222222 -22222222 -eeeeeeef -eeeeeeee -0a5a4888 -55555556 -a0506222 -55555554 -aaaaaaa8 -aaaaaaaa -55555556 -55555555 -00000001 -00000000 -aaaaaaa6 -aaaaaaaa -77777778 -77777777 -44444445 -44444444 -5faf9dde -aaaaaaab -f5a5b778 -aaaaaaa9 -aaaaaaa9 -aaaaaaaa -55555557 -55555555 -aaaaaaab -aaaaaaaa -aaaaaaa7 -aaaaaaaa -77777779 -77777777 -44444446 -44444444 -f5a5b779 -aaaaaaa9 -55555555 -55555555 -00000000 -00000000 -aaaaaaa5 -aaaaaaaa -77777777 -77777777 -44444444 -44444444 -5faf9ddd -aaaaaaab -f5a5b777 -aaaaaaa9 -00000003 -00000000 -aaaaaab1 -aaaaaaaa -5555555c -55555555 -00000001 -00000000 -ccccccd3 -cccccccc -999999a0 -99999999 -b504f339 -00000000 -4afb0cd3 -ffffffff -00000004 -00000000 -aaaaaab2 -aaaaaaaa -00000006 -00000000 -00000002 -00000000 -ccccccd4 -cccccccc -999999a1 -99999999 -4afb0cd4 -ffffffff -aaaaaab0 -aaaaaaaa -5555555b -55555555 -00000000 -00000000 -ccccccd2 -cccccccc -9999999f -99999999 -b504f338 -00000000 -4afb0cd2 -ffffffff -33333331 -33333333 -dddddddf -dddddddd -8888888a -88888888 -3333332f -33333333 -00000001 -00000000 -ccccccce -cccccccc -e8382667 -33333333 -7e2e4001 -33333332 -33333332 -33333333 -dddddde0 -dddddddd -33333334 -33333333 -33333330 -33333333 -00000002 -00000000 -cccccccf -cccccccc -7e2e4002 -33333332 -ddddddde -dddddddd -88888889 -88888888 -3333332e -33333333 -00000000 -00000000 -cccccccd -cccccccc -e8382666 -33333333 -7e2e4000 -33333332 -66666664 -66666666 -11111112 -11111111 -bbbbbbbd -bbbbbbbb -66666662 -66666666 -33333334 -33333333 -00000001 -00000000 -1b6b599a -66666667 -b1617334 -66666665 -66666665 -66666666 -11111113 -11111111 -66666667 -66666666 -66666663 -66666666 -33333335 -33333333 -00000002 -00000000 -b1617335 -66666665 -11111111 -11111111 -bbbbbbbc -bbbbbbbb -66666661 -66666666 -33333333 -33333333 -00000000 -00000000 -1b6b5999 -66666667 -b1617333 -66666665 -4afb0ccb -ffffffff -f5a5b779 -aaaaaaa9 -a0506224 -55555554 -4afb0cc9 -ffffffff -17c7d99b -cccccccc -e494a668 -99999998 -00000001 -00000000 -95f6199b -fffffffe -4afb0ccc -ffffffff -f5a5b77a -aaaaaaa9 -4afb0cce -ffffffff -4afb0cca -ffffffff -17c7d99c -cccccccc -e494a669 -99999998 -95f6199c -fffffffe -f5a5b778 -aaaaaaa9 -a0506223 -55555554 -4afb0cc8 -ffffffff -17c7d99a -cccccccc -e494a667 -99999998 -00000000 -00000000 -95f6199a -fffffffe -b504f331 -00000000 -5faf9ddf -aaaaaaab -0a5a488a -55555556 -b504f32f -00000000 -81d1c001 -cccccccd -4e9e8cce -9999999a -6a09e667 -00000001 -00000001 -00000000 -b504f332 -00000000 -5faf9de0 -aaaaaaab -b504f334 -00000000 -b504f330 -00000000 -81d1c002 -cccccccd -4e9e8ccf -9999999a -00000002 -00000000 -5faf9dde -aaaaaaab -0a5a4889 -55555556 -b504f32e -00000000 -81d1c000 -cccccccd -4e9e8ccd -9999999a -6a09e666 -00000001 -00000000 -00000000 -aaaaaaac -aaaaaaaa -00000000 -00000000 -fffffffc -ffffffff -ccccccce -cccccccc -9999999b -99999999 -4afb0cce -ffffffff -aaaaaaaa -aaaaaaaa -55555555 -55555555 -fffffffa -ffffffff -cccccccc -cccccccc -99999999 -99999999 -b504f332 -00000000 -4afb0ccc -ffffffff -00000001 -00000000 -aaaaaaaf -aaaaaaaa -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csubw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csubw-01.reference_output deleted file mode 100644 index 4881ba833..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csubw-01.reference_output +++ /dev/null @@ -1,1340 +0,0 @@ -ffffffff -ffffffff -00000000 -00000000 -00400001 -00000000 -33333333 -00000000 -fffff000 -ffffffff -00000001 -00000000 -aaaaaaaa -ffffffff -00000002 -00000000 -fffffffe -ffffffff -33333330 -00000000 -fffffff9 -ffffffff -fffffff9 -ffffffff -fff7ffdf -ffffffff -ffdfffbf -ffffffff -001fff80 -00000000 -00003f00 -00000000 -fffffdef -ffffffff -fffffc00 -ffffffff -ffffe7ff -ffffffff -ffffdbff -ffffffff -55551556 -00000000 -ffef7fff -ffffffff -aaa9aaaa -ffffffff -fffdffff -ffffffff -fffc0000 -ffffffff -fff90000 -ffffffff -fff00000 -ffffffff -ffe00000 -ffffffff -07c00000 -00000000 -ff77ffff -ffffffff -ff000004 -ffffffff -fe002000 -ffffffff -fc000000 -ffffffff -f7ffffff -ffffffff -10000000 -00000000 -dfffffff -ffffffff -26666667 -00000000 -7fffffff -00000000 -ffff7fff -ffffffff -00000000 -00000000 -aaaaaaab -ffffffff -00001000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00020000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000003 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000400 -00000000 -ffffffff -ffffffff -fffffdff -ffffffff -33333333 -00000000 -00000000 -00000000 -33333334 -00000000 -fffffffd -ffffffff -00040000 -00000000 -b504f334 -ffffffff -00000000 -00000000 -00000007 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -4afb0cd0 -00000000 -33333337 -00000000 -00000005 -00000000 -00000008 -00000000 -0000000c -00000000 -00000021 -00000000 -00000041 -00000000 -40000081 -00000000 -00000104 -00000000 -66666867 -00000000 -00000400 -00000000 -00000802 -00000000 -00001011 -00000000 -66668667 -00000000 -00003000 -00000000 -ff808000 -ffffffff -40010001 -00000000 -0002000a -00000000 -00040401 -00000000 -00080001 -00000000 -00100001 -00000000 -00200001 -00000000 -00400001 -00000000 -55d55555 -00000000 -00fffffa -00000000 -01fffffa -00000000 -04000001 -00000000 -88000001 -ffffffff -0ffffffa -00000000 -20000000 -00000000 -40000003 -00000000 -80000011 -ffffffff -f0000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffff0000 -ffffffff -00000021 -00000000 -ffffffc0 -ffffffff -00000007 -00000000 -fffe0000 -ffffffff -c0000000 -ffffffff -00000001 -00000000 -00000000 -00000000 -fffffff0 -ffffffff -00000000 -00000000 -e0000000 -ffffffff -00001001 -00000000 -fffffff0 -ffffffff -00001001 -00000000 -00000001 -00000000 -00000007 -00000000 -00000000 -00000000 -00000000 -00000000 -00040001 -00000000 -00000000 -00000000 -0000000a -00000000 -80000000 -ffffffff -00000007 -00000000 -00000001 -00000000 -ff800000 -ffffffff -5555554c -00000000 -fffff008 -ffffffff -00000040 -00000000 -fc000080 -ffffffff -80000100 -ffffffff -000001fc -00000000 -fffc0800 -ffffffff -00010001 -00000000 -00080001 -00000000 -00100801 -00000000 -00800001 -00000000 -01000008 -00000000 -02000004 -00000000 -04000001 -00000000 -c504f332 -ffffffff -ffffffff -ffffffff -00020001 -00000000 -20000001 -00000000 -4afb0ccc -00000000 -80000000 -ffffffff -fffffffb -ffffffff -04000001 -00000000 -00000004 -00000000 -00100001 -00000000 -c0000000 -ffffffff -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -fffffffb -ffffffff -fffffff7 -ffffffff -ffffffdf -ffffffff -b504f2b1 -ffffffff -7ffffeff -00000000 -fffff7fd -ffffffff -ffffdfff -ffffffff -ffffbeff -ffffffff -00000000 -00000000 -ffb7ffff -ffffffff -03000000 -00000000 -f9ffffff -ffffffff -fbffffff -ffffffff -f7fffffb -ffffffff -ffffffff -ffffffff -80000000 -ffffffff -80000000 -ffffffff -fffffffe -ffffffff -00000000 -00000000 -ffffffff -ffffffff -20000000 -00000000 -02000000 -00000000 -b504f332 -ffffffff -ffffffff -ffffffff -aaaaaaab -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -40000000 -00000000 -55555555 -00000000 -00000000 -00000000 -aaaaaaae -ffffffff -55555559 -00000000 -fffffffe -ffffffff -ccccccd0 -ffffffff -9999999d -ffffffff -b504f336 -ffffffff -4afb0cd0 -00000000 -00000001 -00000000 -aaaaaaaf -ffffffff -00000003 -00000000 -ffffffff -ffffffff -ccccccd1 -ffffffff -9999999e -ffffffff -4afb0cd1 -00000000 -aaaaaaad -ffffffff -55555558 -00000000 -fffffffd -ffffffff -cccccccf -ffffffff -9999999c -ffffffff -b504f335 -ffffffff -4afb0ccf -00000000 -55555552 -00000000 -00000000 -00000000 -aaaaaaab -ffffffff -55555550 -00000000 -22222222 -00000000 -eeeeeeef -ffffffff -0a5a4888 -00000000 -a0506222 -ffffffff -55555553 -00000000 -00000001 -00000000 -55555555 -00000000 -55555551 -00000000 -22222223 -00000000 -eeeeeef0 -ffffffff -a0506223 -ffffffff -ffffffff -ffffffff -aaaaaaaa -ffffffff -5555554f -00000000 -22222221 -00000000 -eeeeeeee -ffffffff -0a5a4887 -00000000 -a0506221 -ffffffff -aaaaaaa7 -ffffffff -55555555 -00000000 -00000000 -00000000 -aaaaaaa5 -ffffffff -77777777 -00000000 -44444444 -00000000 -5faf9ddd -00000000 -f5a5b777 -ffffffff -aaaaaaa8 -ffffffff -55555556 -00000000 -aaaaaaaa -ffffffff -aaaaaaa6 -ffffffff -77777778 -00000000 -44444445 -00000000 -f5a5b778 -ffffffff -55555554 -00000000 -ffffffff -ffffffff -aaaaaaa4 -ffffffff -77777776 -00000000 -44444443 -00000000 -5faf9ddc -00000000 -f5a5b776 -ffffffff -00000002 -00000000 -aaaaaab0 -ffffffff -5555555b -00000000 -00000000 -00000000 -ccccccd2 -ffffffff -9999999f -ffffffff -b504f338 -ffffffff -4afb0cd2 -00000000 -00000003 -00000000 -aaaaaab1 -ffffffff -00000005 -00000000 -00000001 -00000000 -ccccccd3 -ffffffff -999999a0 -ffffffff -4afb0cd3 -00000000 -aaaaaaaf -ffffffff -5555555a -00000000 -ffffffff -ffffffff -ccccccd1 -ffffffff -9999999e -ffffffff -b504f337 -ffffffff -4afb0cd1 -00000000 -33333330 -00000000 -ddddddde -ffffffff -88888889 -ffffffff -3333332e -00000000 -00000000 -00000000 -cccccccd -ffffffff -e8382666 -ffffffff -7e2e4000 -00000000 -33333331 -00000000 -dddddddf -ffffffff -33333333 -00000000 -3333332f -00000000 -00000001 -00000000 -ccccccce -ffffffff -7e2e4001 -00000000 -dddddddd -ffffffff -88888888 -ffffffff -3333332d -00000000 -ffffffff -ffffffff -cccccccc -ffffffff -e8382665 -ffffffff -7e2e3fff -00000000 -66666663 -00000000 -11111111 -00000000 -bbbbbbbc -ffffffff -66666661 -00000000 -33333333 -00000000 -00000000 -00000000 -1b6b5999 -00000000 -b1617333 -ffffffff -66666664 -00000000 -11111112 -00000000 -66666666 -00000000 -66666662 -00000000 -33333334 -00000000 -00000001 -00000000 -b1617334 -ffffffff -11111110 -00000000 -bbbbbbbb -ffffffff -66666660 -00000000 -33333332 -00000000 -ffffffff -ffffffff -1b6b5998 -00000000 -b1617332 -ffffffff -4afb0cca -00000000 -f5a5b778 -ffffffff -a0506223 -ffffffff -4afb0cc8 -00000000 -17c7d99a -00000000 -e494a667 -ffffffff -00000000 -00000000 -95f6199a -ffffffff -4afb0ccb -00000000 -f5a5b779 -ffffffff -4afb0ccd -00000000 -4afb0cc9 -00000000 -17c7d99b -00000000 -e494a668 -ffffffff -95f6199b -ffffffff -f5a5b777 -ffffffff -a0506222 -ffffffff -4afb0cc7 -00000000 -17c7d999 -00000000 -e494a666 -ffffffff -ffffffff -ffffffff -95f61999 -ffffffff -b504f330 -ffffffff -5faf9dde -00000000 -0a5a4889 -00000000 -b504f32e -ffffffff -81d1c000 -ffffffff -4e9e8ccd -00000000 -6a09e666 -00000000 -00000000 -00000000 -b504f331 -ffffffff -5faf9ddf -00000000 -b504f333 -ffffffff -b504f32f -ffffffff -81d1c001 -ffffffff -4e9e8cce -00000000 -00000001 -00000000 -5faf9ddd -00000000 -0a5a4888 -00000000 -b504f32d -ffffffff -81d1bfff -ffffffff -4e9e8ccc -00000000 -6a09e665 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -aaaaaaad -ffffffff -55555558 -00000000 -fffffffd -ffffffff -cccccccf -ffffffff -9999999c -ffffffff -b504f335 -ffffffff -4afb0ccf -00000000 -00000000 -00000000 -aaaaaaae -ffffffff -00000002 -00000000 -fffffffe -ffffffff -ccccccd0 -ffffffff -9999999d -ffffffff -4afb0cd0 -00000000 -aaaaaaac -ffffffff -55555557 -00000000 -fffffffc -ffffffff -ccccccce -ffffffff -9999999b -ffffffff -b504f334 -ffffffff -4afb0cce -00000000 -55555551 -00000000 -ffffffff -ffffffff -aaaaaaaa -ffffffff -5555554f -00000000 -22222221 -00000000 -eeeeeeee -ffffffff -0a5a4887 -00000000 -a0506221 -ffffffff -55555552 -00000000 -00000000 -00000000 -55555554 -00000000 -55555550 -00000000 -22222222 -00000000 -eeeeeeef -ffffffff -a0506222 -ffffffff -fffffffe -ffffffff -aaaaaaa9 -ffffffff -5555554e -00000000 -22222220 -00000000 -eeeeeeed -ffffffff -0a5a4886 -00000000 -a0506220 -ffffffff -fffffffd -ffffffff -aaaaaaab -ffffffff -55555556 -00000000 -fffffffb -ffffffff -cccccccd -ffffffff -9999999a -ffffffff -b504f333 -ffffffff -4afb0ccd -00000000 -5555555a -00000000 -ffffffff -ffffffff -ccccccd1 -ffffffff -9999999e -ffffffff -b504f337 -ffffffff -4afb0cd1 -00000000 -00000002 -00000000 -aaaaaab0 -ffffffff -00000004 -00000000 -00000000 -00000000 -ccccccd2 -ffffffff -9999999f -ffffffff -4afb0cd2 -00000000 -aaaaaaae -ffffffff -55555559 -00000000 -fffffffe -ffffffff -ccccccd0 -ffffffff -9999999d -ffffffff -b504f336 -ffffffff -4afb0cd0 -00000000 -3333332f -00000000 -dddddddd -ffffffff -88888888 -ffffffff -3333332d -00000000 -ffffffff -ffffffff -cccccccc -ffffffff -e8382665 -ffffffff -7e2e3fff -00000000 -33333330 -00000000 -ddddddde -ffffffff -33333332 -00000000 -3333332e -00000000 -00000000 -00000000 -cccccccd -ffffffff -7e2e4000 -00000000 -dddddddc -ffffffff -88888887 -ffffffff -3333332c -00000000 -fffffffe -ffffffff -cccccccb -ffffffff -e8382664 -ffffffff -7e2e3ffe -00000000 -66666662 -00000000 -11111110 -00000000 -bbbbbbbb -ffffffff -66666660 -00000000 -33333332 -00000000 -ffffffff -ffffffff -1b6b5998 -00000000 -b1617332 -ffffffff -66666663 -00000000 -11111111 -00000000 -66666665 -00000000 -66666661 -00000000 -33333333 -00000000 -00000000 -00000000 -b1617333 -ffffffff -1111110f -00000000 -bbbbbbba -ffffffff -6666665f -00000000 -33333331 -00000000 -fffffffe -ffffffff -1b6b5997 -00000000 -b1617331 -ffffffff -b504f32f -ffffffff -5faf9ddd -00000000 -0a5a4888 -00000000 -b504f32d -ffffffff -81d1bfff -ffffffff -4e9e8ccc -00000000 -6a09e665 -00000000 -ffffffff -ffffffff -b504f330 -ffffffff -5faf9dde -00000000 -b504f332 -ffffffff -b504f32e -ffffffff -81d1c000 -ffffffff -4e9e8ccd -00000000 -00000000 -00000000 -5faf9ddc -00000000 -0a5a4887 -00000000 -b504f32c -ffffffff -81d1bffe -ffffffff -4e9e8ccb -00000000 -6a09e664 -00000000 -fffffffe -ffffffff -55555553 -00000000 -00000001 -00000000 -aaaaaaac -ffffffff -55555551 -00000000 -22222223 -00000000 -eeeeeef0 -ffffffff -0a5a4889 -00000000 -a0506223 -ffffffff -55555554 -00000000 -00000002 -00000000 -55555556 -00000000 -55555552 -00000000 -22222224 -00000000 -eeeeeef1 -ffffffff -a0506224 -ffffffff -00000000 -00000000 -aaaaaaab -ffffffff -55555550 -00000000 -22222222 -00000000 -eeeeeeef -ffffffff -0a5a4888 -00000000 -a0506222 -ffffffff -aaaaaaa8 -ffffffff -55555556 -00000000 -00000001 -00000000 -aaaaaaa6 -ffffffff -77777778 -00000000 -44444445 -00000000 -5faf9dde -00000000 -f5a5b778 -ffffffff -aaaaaaa9 -ffffffff -55555557 -00000000 -aaaaaaab -ffffffff -aaaaaaa7 -ffffffff -77777779 -00000000 -44444446 -00000000 -f5a5b779 -ffffffff -55555555 -00000000 -00000000 -00000000 -aaaaaaa5 -ffffffff -77777777 -00000000 -44444444 -00000000 -5faf9ddd -00000000 -f5a5b777 -ffffffff -00000003 -00000000 -aaaaaab1 -ffffffff -5555555c -00000000 -00000001 -00000000 -ccccccd3 -ffffffff -999999a0 -ffffffff -b504f339 -ffffffff -4afb0cd3 -00000000 -00000004 -00000000 -aaaaaab2 -ffffffff -00000006 -00000000 -00000002 -00000000 -ccccccd4 -ffffffff -999999a1 -ffffffff -4afb0cd4 -00000000 -aaaaaab0 -ffffffff -5555555b -00000000 -00000000 -00000000 -ccccccd2 -ffffffff -9999999f -ffffffff -b504f338 -ffffffff -4afb0cd2 -00000000 -33333331 -00000000 -dddddddf -ffffffff -8888888a -ffffffff -3333332f -00000000 -00000001 -00000000 -ccccccce -ffffffff -e8382667 -ffffffff -7e2e4001 -00000000 -33333332 -00000000 -dddddde0 -ffffffff -33333334 -00000000 -00000002 -00000000 -cccccccf -ffffffff -7e2e4002 -00000000 -ddddddde -ffffffff -88888889 -ffffffff -3333332e -00000000 -00000000 -00000000 -cccccccd -ffffffff -e8382666 -ffffffff -7e2e4000 -00000000 -66666664 -00000000 -11111112 -00000000 -bbbbbbbd -ffffffff -66666662 -00000000 -33333334 -00000000 -00000001 -00000000 -1b6b599a -00000000 -b1617334 -ffffffff -66666665 -00000000 -11111113 -00000000 -66666667 -00000000 -66666663 -00000000 -33333335 -00000000 -00000002 -00000000 -b1617335 -ffffffff -11111111 -00000000 -bbbbbbbc -ffffffff -66666661 -00000000 -33333333 -00000000 -00000000 -00000000 -1b6b5999 -00000000 -b1617333 -ffffffff -4afb0ccb -00000000 -f5a5b779 -ffffffff -a0506224 -ffffffff -4afb0cc9 -00000000 -17c7d99b -00000000 -e494a668 -ffffffff -00000001 -00000000 -95f6199b -ffffffff -4afb0ccc -00000000 -f5a5b77a -ffffffff -4afb0cce -00000000 -4afb0cca -00000000 -17c7d99c -00000000 -e494a669 -ffffffff -95f6199c -ffffffff -f5a5b778 -ffffffff -a0506223 -ffffffff -4afb0cc8 -00000000 -17c7d99a -00000000 -e494a667 -ffffffff -00000000 -00000000 -95f6199a -ffffffff -b504f331 -ffffffff -5faf9ddf -00000000 -0a5a488a -00000000 -b504f32f -ffffffff -81d1c001 -ffffffff -4e9e8cce -00000000 -6a09e667 -00000000 -00000001 -00000000 -b504f332 -ffffffff -5faf9de0 -00000000 -b504f334 -ffffffff -b504f330 -ffffffff -81d1c002 -ffffffff -4e9e8ccf -00000000 -00000002 -00000000 -5faf9dde -00000000 -0a5a4889 -00000000 -b504f32e -ffffffff -81d1c000 -ffffffff -4e9e8ccd -00000000 -6a09e666 -00000000 -00000000 -00000000 -fffffffe -ffffffff -aaaaaaac -ffffffff -00000000 -00000000 -fffffffc -ffffffff -ccccccce -ffffffff -9999999b -ffffffff -4afb0cce -00000000 -aaaaaaaa -ffffffff -55555555 -00000000 -fffffffa -ffffffff -cccccccc -ffffffff -99999999 -ffffffff -b504f332 -ffffffff -4afb0ccc -00000000 -00000001 -00000000 -aaaaaaaf -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csw-01.reference_output deleted file mode 100644 index 1af6353b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/csw-01.reference_output +++ /dev/null @@ -1,264 +0,0 @@ -00000000 -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -00000001 -deadbeef -ffffffff -deadbeef -00000002 -deadbeef -00000004 -deadbeef -00000008 -deadbeef -00000010 -deadbeef -00000020 -deadbeef -00000040 -deadbeef -00000080 -deadbeef -00000100 -deadbeef -00000200 -deadbeef -00000400 -deadbeef -00000800 -deadbeef -00001000 -deadbeef -00002000 -deadbeef -00004000 -deadbeef -00008000 -deadbeef -00010000 -deadbeef -00020000 -deadbeef -00040000 -deadbeef -00080000 -deadbeef -00100000 -deadbeef -00200000 -deadbeef -00400000 -deadbeef -00800000 -deadbeef -01000000 -deadbeef -02000000 -deadbeef -04000000 -deadbeef -08000000 -deadbeef -10000000 -deadbeef -20000000 -deadbeef -40000000 -deadbeef -80000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -fffffffe -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -55555555 -deadbeef -aaaaaaaa -deadbeef -fffffffd -deadbeef -fffffffb -deadbeef -fffffff7 -deadbeef -ffffffef -deadbeef -ffffffdf -deadbeef -ffffffbf -deadbeef -ffffff7f -deadbeef -fffffeff -deadbeef -fffffdff -deadbeef -fffffbff -deadbeef -fffff7ff -deadbeef -ffffefff -deadbeef -ffffdfff -deadbeef -ffffbfff -deadbeef -ffff7fff -deadbeef -fffeffff -deadbeef -fffdffff -deadbeef -fffbffff -deadbeef -fff7ffff -deadbeef -ffefffff -deadbeef -ffdfffff -deadbeef -ffbfffff -deadbeef -ff7fffff -deadbeef -feffffff -deadbeef -fdffffff -deadbeef -fbffffff -deadbeef -f7ffffff -deadbeef -efffffff -deadbeef -dfffffff -deadbeef -bfffffff -deadbeef -7fffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cswsp-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cswsp-01.reference_output deleted file mode 100644 index 825b07e70..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cswsp-01.reference_output +++ /dev/null @@ -1,268 +0,0 @@ -00000000 -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -00000001 -deadbeef -00000009 -deadbeef -00000002 -deadbeef -00000004 -deadbeef -00000008 -deadbeef -00000010 -deadbeef -00000020 -deadbeef -00000040 -deadbeef -00000080 -deadbeef -00000100 -deadbeef -00000200 -deadbeef -00000400 -deadbeef -00000800 -deadbeef -00001000 -deadbeef -00002000 -deadbeef -00004000 -deadbeef -00008000 -deadbeef -00010000 -deadbeef -00020000 -deadbeef -00040000 -deadbeef -00080000 -deadbeef -00100000 -deadbeef -00200000 -deadbeef -00400000 -deadbeef -00800000 -deadbeef -01000000 -deadbeef -02000000 -deadbeef -04000000 -deadbeef -08000000 -deadbeef -10000000 -deadbeef -20000000 -deadbeef -40000000 -deadbeef -80000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -fffffffe -deadbeef -fffffffd -deadbeef -fffffffb -deadbeef -fffffff7 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -55555555 -deadbeef -aaaaaaaa -deadbeef -00000010 -deadbeef -ffffffef -deadbeef -ffffffdf -deadbeef -ffffffbf -deadbeef -ffffff7f -deadbeef -fffffeff -deadbeef -fffffdff -deadbeef -fffffbff -deadbeef -fffff7ff -deadbeef -ffffefff -deadbeef -ffffdfff -deadbeef -ffffbfff -deadbeef -ffff7fff -deadbeef -fffeffff -deadbeef -fffdffff -deadbeef -fffbffff -deadbeef -fff7ffff -deadbeef -ffefffff -deadbeef -ffdfffff -deadbeef -ffbfffff -deadbeef -ff7fffff -deadbeef -feffffff -deadbeef -fdffffff -deadbeef -fbffffff -deadbeef -f7ffffff -deadbeef -efffffff -deadbeef -dfffffff -deadbeef -bfffffff -deadbeef -7fffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cxor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cxor-01.reference_output deleted file mode 100644 index 83949081f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/C/references/cxor-01.reference_output +++ /dev/null @@ -1,1328 +0,0 @@ -fffff7ff -7fffffff -00000000 -00000000 -00008000 -80000000 -fffffffe -fffffdff -00000000 -00000000 -b504f332 -00000000 -00000200 -80000000 -00040001 -00000000 -00000002 -00000020 -66666661 -66666666 -00020008 -00000000 -b504f322 -00000000 -66666647 -66666666 -00100040 -00000000 -ffffff7f -ffffbfff -fffffeff -efffffff -fffffdff -fffffff7 -fffffbff -ffbfffff -00000800 -00000040 -ffffefff -fffeffff -00002000 -00000000 -ffff3fff -ffffffff -00008000 -02000000 -00010000 -20000000 -00020002 -00000000 -20080000 -00000000 -ffafffff -ffffffff -00200000 -00100000 -ffbff7ff -ffffffff -ff7fffff -fdffffff -67666666 -66666666 -02100000 -00000000 -04010000 -00000000 -f7ffffff -feffffff -5afb0ccd -ffffffff -20000000 -00040000 -bfefffff -ffffffff -80000000 -00010000 -00000000 -00020001 -20000000 -00000002 -fffbffff -fffffffb -66666665 -6666666e -00000000 -00000110 -00000080 -00000020 -ffffbfff -ffffffbf -aaaaaaaa -aaaaaa2a -00000000 -00000140 -ffffffff -ffeffdff -feffffff -fffffbff -ffffffff -fffdf7ff -00000000 -02001000 -ffffffff -ffffdffb -aaaaaaaa -aaaaeaaa -00000000 -40008000 -66666667 -66676666 -00000040 -00020000 -00000020 -00040000 -ffffffff -fff7ffff -ffff7fff -ffefffff -ffffff7f -ffdfffff -ffffffff -ffbffff7 -ffffffbf -ff7fffff -00000000 -01080000 -fffff7ff -fdffffff -00040000 -04000000 -ffffff7f -f7ffffff -ffffffff -efffdfff -fffdffff -dfffffff -fdffffff -bfffffff -fffffffe -ffffffdf -ccccccce -cccccccc -00100004 -00000000 -fffff7f7 -ffffffff -00001010 -00000000 -ffffffdf -fffdffff -00000041 -00000000 -00000280 -00000000 -4afb0dcb -ffffffff -ccccc8cc -cccccccc -10000800 -00000000 -ffffefff -ffffffff -ffffdfff -ffffffdf -ffffbff7 -ffffffff -00028000 -00000000 -00010000 -08000000 -02020000 -00000000 -fffbffff -ffffffff -fff7fffb -ffffffff -00000000 -00000000 -00200000 -00000001 -b544f331 -00000000 -00000000 -00000000 -21000000 -00000000 -fdffffff -ff7fffff -fbfffffc -ffffffff -08000000 -00000000 -10000000 -08000000 -dffffeff -ffffffff -40000000 -00000800 -77ffffff -ffffffff -ffbfffff -fffffffe -ffffffff -fbfffffd -ffffffff -ffffffeb -00000000 -00000008 -00000000 -00100010 -ffffffff -ff7fffdf -00004000 -00000040 -ffffffff -ff7fff7f -00000000 -00800100 -ffffffff -fffff9ff -ffffbfff -fffffbff -08000000 -00000800 -00000002 -00001000 -bfffffff -ffffdfff -fffffff9 -ffffbfff -fdffffff -ffff7fff -ffffdfff -fffeffff -00000400 -00020000 -fffdffff -fffbffff -fbffffff -fff7ffff -00000000 -02100000 -ffffffff -ffdfdfff -00000008 -00400000 -00008000 -00800000 -00080000 -01000000 -ffffffff -fdfbffff -ffffffff -fbfdffff -ffffffff -f7bfffff -00000000 -10008000 -fffffdff -dfffffff -00000009 -40000000 -aaaaaaaa -aaaa8aaa -aaaaaaaa -aaaaaaab -55555545 -55555555 -00000400 -00000000 -ffffeff7 -ffffffff -00008000 -00010000 -fff7fff9 -ffffffff -efdfffff -ffffffff -ff7ffff6 -ffffffff -feffffff -ffffffdf -e7ffffff -ffffffff -80000000 -00000010 -fbffffff -fffffffd -ffffffff -7ffffffb -efffffff -fffffff7 -33333334 -333333b3 -fffffffa -fffffdff -ffffffff -fffff3ff -ffffffff -ffffeeff -00000001 -00004000 -00000000 -00008004 -66666665 -66466666 -00000080 -01000000 -01000000 -08000000 -00000400 -10000000 -0000000c -00000000 -00040010 -00000000 -00000024 -00000000 -fffffeff -ffffbfff -ffffdfff -ffffffff -00010000 -00000100 -cceccccc -cccccccc -aeaaaaaa -aaaaaaaa -bfffffff -fffffff7 -7fffffff -fffbffff -ffffffff -fffffffd -fffffffe -ffffffef -ffffffff -fff7ffdf -fffffffb -ffffffbf -00000000 -00000000 -fffffff9 -fffffeff -fffffbff -fffffbff -00000000 -00001100 -00000000 -00040200 -55555555 -555d5555 -ffffffff -ffdfffef -00000000 -04200000 -ffffffff -9fffffff -00000000 -40000800 -55575555 -55555555 -00000000 -00000000 -55555556 -55555555 -aaaaaaa9 -aaaaaaaa -00000006 -00000000 -33333330 -33333333 -66666665 -66666666 -4afb0cce -ffffffff -b504f330 -00000000 -00000001 -00000000 -55555557 -55555555 -00000003 -00000000 -00000007 -00000000 -33333331 -33333333 -66666666 -66666666 -b504f331 -00000000 -55555555 -55555555 -aaaaaaa8 -aaaaaaaa -00000005 -00000000 -33333337 -33333333 -66666664 -66666666 -4afb0ccd -ffffffff -b504f337 -00000000 -55555556 -55555555 -00000000 -00000000 -ffffffff -ffffffff -55555550 -55555555 -66666666 -66666666 -33333333 -33333333 -1fae5998 -aaaaaaaa -e051a666 -55555555 -55555557 -55555555 -00000001 -00000000 -55555555 -55555555 -55555551 -55555555 -66666667 -66666666 -33333330 -33333333 -e051a667 -55555555 -00000003 -00000000 -fffffffe -ffffffff -55555553 -55555555 -66666661 -66666666 -33333332 -33333333 -1fae599b -aaaaaaaa -e051a661 -55555555 -aaaaaaa9 -aaaaaaaa -ffffffff -ffffffff -00000000 -00000000 -aaaaaaaf -aaaaaaaa -99999999 -99999999 -cccccccc -cccccccc -e051a667 -55555555 -1fae5999 -aaaaaaaa -aaaaaaa8 -aaaaaaaa -fffffffe -ffffffff -aaaaaaaa -aaaaaaaa -aaaaaaae -aaaaaaaa -99999998 -99999999 -cccccccf -cccccccc -1fae5998 -aaaaaaaa -fffffffc -ffffffff -00000001 -00000000 -aaaaaaac -aaaaaaaa -9999999e -99999999 -cccccccd -cccccccc -e051a664 -55555555 -1fae599e -aaaaaaaa -00000006 -00000000 -55555550 -55555555 -aaaaaaaf -aaaaaaaa -00000000 -00000000 -33333336 -33333333 -66666663 -66666666 -4afb0cc8 -ffffffff -b504f336 -00000000 -00000007 -00000000 -55555551 -55555555 -00000005 -00000000 -00000001 -00000000 -33333337 -33333333 -66666660 -66666666 -b504f337 -00000000 -55555553 -55555555 -aaaaaaae -aaaaaaaa -00000003 -00000000 -33333331 -33333333 -66666662 -66666666 -4afb0ccb -ffffffff -b504f331 -00000000 -33333330 -33333333 -66666666 -66666666 -99999999 -99999999 -33333336 -33333333 -00000000 -00000000 -55555555 -55555555 -79c83ffe -cccccccc -8637c000 -33333333 -33333331 -33333333 -66666667 -66666666 -33333333 -33333333 -33333337 -33333333 -00000001 -00000000 -55555556 -55555555 -8637c001 -33333333 -66666665 -66666666 -99999998 -99999999 -33333335 -33333333 -00000007 -00000000 -55555554 -55555555 -79c83ffd -cccccccc -8637c007 -33333333 -66666665 -66666666 -33333333 -33333333 -cccccccc -cccccccc -66666663 -66666666 -55555555 -55555555 -00000000 -00000000 -2c9d6aab -99999999 -d3629555 -66666666 -66666664 -66666666 -33333332 -33333333 -66666666 -66666666 -66666662 -66666666 -55555554 -55555555 -00000003 -00000000 -d3629554 -66666666 -33333330 -33333333 -cccccccd -cccccccc -66666660 -66666666 -55555552 -55555555 -00000001 -00000000 -2c9d6aa8 -99999999 -d3629552 -66666666 -4afb0cce -ffffffff -1fae5998 -aaaaaaaa -e051a667 -55555555 -4afb0cc8 -ffffffff -79c83ffe -cccccccc -2c9d6aab -99999999 -00000000 -00000000 -fffffffe -ffffffff -4afb0ccf -ffffffff -1fae5999 -aaaaaaaa -4afb0ccd -ffffffff -4afb0cc9 -ffffffff -79c83fff -cccccccc -2c9d6aa8 -99999999 -ffffffff -ffffffff -1fae599b -aaaaaaaa -e051a666 -55555555 -4afb0ccb -ffffffff -79c83ff9 -cccccccc -2c9d6aaa -99999999 -00000003 -00000000 -fffffff9 -ffffffff -b504f330 -00000000 -e051a666 -55555555 -1fae5999 -aaaaaaaa -b504f336 -00000000 -8637c000 -33333333 -d3629555 -66666666 -fffffffe -ffffffff -00000000 -00000000 -b504f331 -00000000 -e051a667 -55555555 -b504f333 -00000000 -b504f337 -00000000 -8637c001 -33333333 -d3629556 -66666666 -00000001 -00000000 -e051a665 -55555555 -1fae5998 -aaaaaaaa -b504f335 -00000000 -8637c007 -33333333 -d3629554 -66666666 -fffffffd -ffffffff -00000007 -00000000 -00000001 -00000000 -55555557 -55555555 -aaaaaaa8 -aaaaaaaa -00000007 -00000000 -33333331 -33333333 -66666664 -66666666 -4afb0ccf -ffffffff -b504f331 -00000000 -00000000 -00000000 -55555556 -55555555 -00000002 -00000000 -00000006 -00000000 -33333330 -33333333 -66666667 -66666666 -b504f330 -00000000 -55555554 -55555555 -aaaaaaa9 -aaaaaaaa -00000004 -00000000 -33333336 -33333333 -66666665 -66666666 -4afb0ccc -ffffffff -b504f336 -00000000 -55555557 -55555555 -00000001 -00000000 -fffffffe -ffffffff -55555551 -55555555 -66666667 -66666666 -33333332 -33333333 -1fae5999 -aaaaaaaa -e051a667 -55555555 -55555556 -55555555 -00000000 -00000000 -55555554 -55555555 -55555550 -55555555 -66666666 -66666666 -33333331 -33333333 -e051a666 -55555555 -00000002 -00000000 -ffffffff -ffffffff -55555552 -55555555 -66666660 -66666666 -33333333 -33333333 -1fae599a -aaaaaaaa -e051a660 -55555555 -00000003 -00000000 -55555555 -55555555 -aaaaaaaa -aaaaaaaa -00000005 -00000000 -33333333 -33333333 -66666666 -66666666 -4afb0ccd -ffffffff -aaaaaaae -aaaaaaaa -00000001 -00000000 -33333337 -33333333 -66666662 -66666666 -4afb0cc9 -ffffffff -b504f337 -00000000 -00000006 -00000000 -55555550 -55555555 -00000004 -00000000 -00000000 -00000000 -33333336 -33333333 -66666661 -66666666 -b504f336 -00000000 -55555552 -55555555 -aaaaaaaf -aaaaaaaa -00000002 -00000000 -33333330 -33333333 -66666663 -66666666 -4afb0cca -ffffffff -b504f330 -00000000 -33333331 -33333333 -66666667 -66666666 -99999998 -99999999 -33333337 -33333333 -00000001 -00000000 -55555554 -55555555 -79c83fff -cccccccc -8637c001 -33333333 -33333330 -33333333 -66666666 -66666666 -33333332 -33333333 -33333336 -33333333 -00000000 -00000000 -55555557 -55555555 -8637c000 -33333333 -66666664 -66666666 -99999999 -99999999 -33333334 -33333333 -00000006 -00000000 -55555555 -55555555 -79c83ffc -cccccccc -8637c006 -33333333 -66666666 -66666666 -33333330 -33333333 -cccccccf -cccccccc -66666660 -66666666 -55555556 -55555555 -00000003 -00000000 -2c9d6aa8 -99999999 -d3629556 -66666666 -66666667 -66666666 -33333331 -33333333 -66666665 -66666666 -55555557 -55555555 -00000000 -00000000 -d3629557 -66666666 -33333333 -33333333 -ccccccce -cccccccc -66666663 -66666666 -55555551 -55555555 -00000002 -00000000 -2c9d6aab -99999999 -d3629551 -66666666 -b504f331 -00000000 -e051a667 -55555555 -1fae5998 -aaaaaaaa -b504f337 -00000000 -8637c001 -33333333 -d3629554 -66666666 -ffffffff -ffffffff -00000001 -00000000 -b504f330 -00000000 -e051a666 -55555555 -b504f332 -00000000 -b504f336 -00000000 -8637c000 -33333333 -d3629557 -66666666 -00000000 -00000000 -e051a664 -55555555 -1fae5999 -aaaaaaaa -b504f334 -00000000 -8637c006 -33333333 -d3629555 -66666666 -fffffffc -ffffffff -00000006 -00000000 -55555555 -55555555 -00000003 -00000000 -fffffffc -ffffffff -55555553 -55555555 -66666665 -66666666 -33333330 -33333333 -1fae599b -aaaaaaaa -e051a665 -55555555 -55555554 -55555555 -00000002 -00000000 -55555556 -55555555 -55555552 -55555555 -66666664 -66666666 -33333333 -33333333 -e051a664 -55555555 -00000000 -00000000 -fffffffd -ffffffff -55555550 -55555555 -66666662 -66666666 -33333331 -33333333 -1fae5998 -aaaaaaaa -e051a662 -55555555 -aaaaaaa8 -aaaaaaaa -fffffffe -ffffffff -00000001 -00000000 -aaaaaaae -aaaaaaaa -99999998 -99999999 -cccccccd -cccccccc -e051a666 -55555555 -1fae5998 -aaaaaaaa -aaaaaaa9 -aaaaaaaa -ffffffff -ffffffff -aaaaaaab -aaaaaaaa -aaaaaaaf -aaaaaaaa -99999999 -99999999 -ccccccce -cccccccc -1fae5999 -aaaaaaaa -fffffffd -ffffffff -00000000 -00000000 -aaaaaaad -aaaaaaaa -9999999f -99999999 -cccccccc -cccccccc -e051a665 -55555555 -1fae599f -aaaaaaaa -00000005 -00000000 -55555553 -55555555 -aaaaaaac -aaaaaaaa -00000003 -00000000 -33333335 -33333333 -66666660 -66666666 -4afb0ccb -ffffffff -b504f335 -00000000 -00000004 -00000000 -55555552 -55555555 -00000006 -00000000 -00000002 -00000000 -33333334 -33333333 -66666663 -66666666 -b504f334 -00000000 -55555550 -55555555 -aaaaaaad -aaaaaaaa -00000000 -00000000 -33333332 -33333333 -66666661 -66666666 -4afb0cc8 -ffffffff -b504f332 -00000000 -33333337 -33333333 -66666661 -66666666 -9999999e -99999999 -33333331 -33333333 -00000007 -00000000 -55555552 -55555555 -79c83ff9 -cccccccc -8637c007 -33333333 -33333336 -33333333 -66666660 -66666666 -33333334 -33333333 -33333330 -33333333 -00000006 -00000000 -55555551 -55555555 -8637c006 -33333333 -66666662 -66666666 -9999999f -99999999 -33333332 -33333333 -00000000 -00000000 -55555553 -55555555 -79c83ffa -cccccccc -8637c000 -33333333 -66666664 -66666666 -33333332 -33333333 -cccccccd -cccccccc -66666662 -66666666 -55555554 -55555555 -00000001 -00000000 -2c9d6aaa -99999999 -d3629554 -66666666 -66666665 -66666666 -33333333 -33333333 -66666667 -66666666 -66666663 -66666666 -55555555 -55555555 -00000002 -00000000 -d3629555 -66666666 -33333331 -33333333 -cccccccc -cccccccc -66666661 -66666666 -55555553 -55555555 -00000000 -00000000 -2c9d6aa9 -99999999 -d3629553 -66666666 -4afb0ccd -ffffffff -1fae599b -aaaaaaaa -e051a664 -55555555 -4afb0ccb -ffffffff -79c83ffd -cccccccc -2c9d6aa8 -99999999 -00000003 -00000000 -fffffffd -ffffffff -4afb0ccc -ffffffff -1fae599a -aaaaaaaa -4afb0cce -ffffffff -4afb0cca -ffffffff -79c83ffc -cccccccc -2c9d6aab -99999999 -fffffffc -ffffffff -1fae5998 -aaaaaaaa -e051a665 -55555555 -4afb0cc8 -ffffffff -79c83ffa -cccccccc -2c9d6aa9 -99999999 -00000000 -00000000 -fffffffa -ffffffff -b504f337 -00000000 -e051a661 -55555555 -1fae599e -aaaaaaaa -b504f331 -00000000 -8637c007 -33333333 -d3629552 -66666666 -fffffff9 -ffffffff -00000007 -00000000 -b504f336 -00000000 -e051a660 -55555555 -b504f334 -00000000 -b504f330 -00000000 -8637c006 -33333333 -d3629551 -66666666 -00000006 -00000000 -e051a662 -55555555 -1fae599f -aaaaaaaa -b504f332 -00000000 -8637c000 -33333333 -d3629553 -66666666 -fffffffa -ffffffff -00000000 -00000000 -b504f333 -00000000 -00000002 -00000000 -55555554 -55555555 -00000000 -00000000 -00000004 -00000000 -33333332 -33333333 -66666665 -66666666 -55555556 -55555555 -aaaaaaab -aaaaaaaa -00000006 -00000000 -33333334 -33333333 -66666667 -66666666 -4afb0cce -ffffffff -b504f334 -00000000 -00000007 -00000000 -55555551 -55555555 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-01.reference_output deleted file mode 100644 index 700a0d10f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-01.reference_output +++ /dev/null @@ -1,116 +0,0 @@ -386a3652 -d5a50930 -5892ac53 -72042d68 -b3167add -ca9e8ed4 -cbc64d4b -febbcf06 -61926f3d -ee23cb9f -79146e2e -2c25fd23 -e2db5a30 -61f14d54 -af1e7778 -7fc17c28 -3b0f81f0 -ac8f5a47 -1fb1f4cc -c60bb9c9 -f83a450c -93c55f90 -7226d031 -c669b748 -e89ff775 -fbb9c95b -0d533ec7 -eb0502e1 -376149ef -961cba2b -512c2285 -71883be1 -b54ed57e -0a3393a7 -ae7875e2 -9db9dd26 -55143757 -771b4a64 -648f4cea -04159c04 -3f71ff22 -7e48e74b -7d0477e1 -7ed66955 -26142117 -630c2bba -613b2ac8 -4df5eb83 -00000000 -00000000 -527fb552 -a94a5252 -0d7ac960 -9f9c5119 -5fa807b1 -33c71227 -3110801f -59ecdd88 -f43ed29a -4b79db78 -205252fc -525256c6 -1b1a296f -71c5b7aa -89621847 -0ebef11d -6e74ade2 -2235f91c -85377596 -e8dface7 -73116797 -41dcf2f0 -eacfb43a -cee6914f -6b1e3fc1 -8f0faf01 -02bd13d0 -038a2cca -06abbcf7 -00d3e4b8 -0a58b390 -0545d88c -8448ed5e -50b915a7 -da468d6c -579d70fd -92f668d4 -6498a45d -165c6572 -ccb6f886 -25a1d976 -66245b6d -b2a28b08 -49d12e28 -4e94c2ee -32234c42 -3d95fa54 -0bc37ba6 -cb392f34 -82ff8ec4 -8743de7c -44e9e39b -fb6a36bf -d5a54081 -38a3f352 -9ed70930 -58773f53 -7dd72d68 -b0bb53a0 -3c99e0ae -ef7fb52d -a94ae593 -20c0cdfc -fe5a56c6 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-rwp1.reference_output deleted file mode 100644 index 94447d5d3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-rwp1.reference_output +++ /dev/null @@ -1,156 +0,0 @@ -e8f210f4 -03211540 -22ecc6b8 -eb624c9a -5965efd4 -789f8622 -92e9aeaa -7dcafc4c -a7d68f61 -aad7579d -58c8d48e -f6a3b3c3 -7e45ea6e -b77fba52 -a7e58e4b -6798a89d -0e8b4cf8 -3b4a2fc3 -b517adc3 -2a017937 -8b2f508a -2fc50869 -6edf60f4 -12b99d90 -cd569b10 -e4a5401e -8b2979f4 -560393b2 -e298a54b -6b058785 -28d4d67b -be48a372 -98a489f1 -88f85bfa -36ecb050 -ceaaadd7 -5e0523e2 -79c3a736 -9eace54f -99b5a1e4 -28c7d7cb -929b878d -69e199ee -6be3840b -7c216c53 -914094c6 -03d94ebe -81517a72 -33bf4365 -73559784 -d0d8c21a -04469855 -5fd084b8 -74ba82a9 -5aa15017 -83ca9634 -1e392a83 -39e59d38 -f20b746f -4b91ce3f -4590a637 -a55a8284 -ecd9f974 -7f9d38e5 -eab9fee6 -b1231c3c -bd1a2885 -4c67eb2a -0ead8284 -7facdadd -270fd5db -d429ee1c -f9ce5a26 -4763ef73 -0937bd7e -ae814f22 -a9bc398d -af7af1b1 -fab218b9 -a7778dfd -ca826b37 -484d4fb5 -96b5efad -3cb8e260 -60de99c4 -bd70a8a2 -354d3710 -337866bc -4f5004da -a52988d9 -3898a995 -d4e3437d -e8079f77 -fa42f841 -a041de82 -61532bc2 -85b90f22 -4d09c349 -22cd271c -ca434896 -c19b7580 -59423e06 -953be889 -0b600f74 -0777bb12 -26202de7 -2c9563db -e3f0b673 -b31f4467 -2e0d2d65 -34eabdd0 -3ee636ae -052ab8a0 -26312d4a -29681f95 -f38dccab -95d097ef -706b6d47 -9895ab30 -5a36ade7 -1bf76d7c -5e2c5381 -a8381757 -e83e7c3b -f050070c -2a4f40d9 -bdad0618 -6789b0a8 -dfae8291 -a7ac97bf -5a3b0a10 -390bf8b7 -a6be2c33 -a595435f -82df206c -2299dd0f -8471e13c -31b3ac79 -b2ff00e9 -7fe27608 -667adc6f -69cc9988 -b46c32c7 -5a54fa4c -63b76c83 -14973213 -fa78b5bc -21a6afab -00d1ccd9 -0c2effc9 -d1116db8 -f3b0e499 -4b5ae888 -09935efe -46d8b04c -ba746245 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-01.reference_output deleted file mode 100644 index b210e6b5b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-01.reference_output +++ /dev/null @@ -1,116 +0,0 @@ -49b32be7 -6e102017 -35b2ab19 -91f3a8f9 -7454b99b -837bdb2d -ad8d3d16 -517363cd -3f3b68cd -a559a9cc -d5789818 -6e1a0aa9 -972586b5 -b70cbf94 -6eddd1dc -26d4eaf2 -33ed0398 -4111cba5 -6953b519 -1d8d032e -76c2c6f9 -b51b5067 -00000000 -00000000 -a9e847f3 -6292ebcb -a661c0a0 -131ae0e4 -4ef2cb87 -840c9e0d -6514b912 -59fe55d1 -45858515 -7c42ffcc -08ee51f6 -96c673fc -f0b3d0b2 -c14078bb -d0de6221 -ffde6ec6 -4fddb2b3 -600ed622 -a0996fb9 -2cff084f -7c587353 -be731122 -106130f9 -6e57739a -48b84048 -ace6bd1c -4b5f011d -a78fda67 -9e88fd35 -b89de08e -9c4646dd -0c0ab473 -a657703f -67b73808 -b53bcf2f -d8360c41 -19e84d6d -97c05734 -729ece65 -7f87f0a1 -1f58fd0e -73f534ee -87e5eed9 -3c9c184a -a115f316 -4ce0f020 -9e95b52c -c9465f4f -b6f8a540 -b7231f7d -d01a89c8 -4d69313b -48c6a153 -0ca2fd3c -b616db9d -4d42ac2c -2325780f -e1781499 -3e39a9d1 -1fbdec15 -5275ffa5 -cdc0642e -99f4c87d -166fdca0 -8af34367 -aca6909e -36ab9c2a -7d66a8c7 -5e7b5aec -0e314eef -14cf6f42 -d6799626 -e8c1230c -2c7a7635 -73125ed6 -aa7dc828 -6e57fba4 -6c5c7a9f -b2f24f17 -519bbdc6 -1c96a313 -6e29192e -a4d44576 -da844ffe -76d56898 -16c53369 -20b8f3de -f84e29cf -4ee97752 -2e6c8754 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-rwp1.reference_output deleted file mode 100644 index 369b16aab..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-rwp1.reference_output +++ /dev/null @@ -1,156 +0,0 @@ -52914d70 -3f18c797 -f7676848 -32372b71 -8cee4124 -a1cae1c9 -b8a27a1f -12ae9d26 -9bd59647 -6566ed59 -64cbcda8 -39120907 -08aff9e1 -697b2416 -133c2f87 -59a91923 -ba52ed34 -057b9e7d -cfc35494 -27efbe13 -6b7d4c24 -8033aa92 -8e8d7c5a -bd4f3f6b -bfe8c98e -4a1ad09f -dc6370e0 -45a268fb -b5d2ac5f -78a47ccc -b452e85f -4d531e27 -5cc1c51c -0e1364a8 -f289fcbd -48419285 -f424a6ec -da3d529e -e45db495 -8fbe653d -52368611 -84904354 -d790c27a -055ae4bc -3d17e9a1 -dc20c4bb -42efcb4c -cc312a0f -c974f6e1 -bdf6334d -1de7b49e -7b15e203 -92eff23c -0be9f8ff -c389aa5c -507f884c -7d3b4e86 -018357ac -9109106a -73f704ab -52d939f6 -0b9ac5ad -9c3ee2f8 -8b65b263 -9a5ee56a -45db96ba -f55f74d4 -d1e5b967 -fdae20d6 -ace0ba22 -d40c7789 -07658ee3 -c308f676 -b664751f -55200088 -60010526 -f5ab847b -61fabbb5 -39ee122c -6c051dd4 -2d44a4d9 -f3cc11d1 -71732043 -8739bc04 -406b64ac -7231f276 -e6435aa0 -0ca6605b -9c5e696a -9af78e3e -1be7a9c9 -b682330e -35264c58 -962c962d -7d600dad -0d3d45ae -5702ffbb -af7ea6b9 -644fd22d -95eab991 -871980b1 -06ebcf01 -af6f5758 -75765142 -a053b59f -65ebedaf -8bb16d56 -a03b763b -6a3c9841 -aaee7f50 -a9f95dbe -5300dbc8 -983958ce -4bd7c02c -5bfae08a -6e38632c -a768df2d -c84fb701 -aa2de3f2 -e21277a1 -427ad510 -189d4e6b -8dae897a -46e8ebd4 -d5c69921 -8499d736 -4811efb8 -94cdb41b -73efea14 -77d60183 -f67a6295 -e9716e8b -b6b33230 -a8a71635 -6206e297 -a59688d2 -64a823c7 -b6bcf9a4 -09cee88b -0ffcdbcb -0ab6f5e6 -3ef5bdc2 -d8a01b4e -0d6dde06 -7c2c056e -47693eb2 -eee5ac2c -d7704460 -144cd549 -faf81402 -78a5ee26 -ae47c512 -b8c9f9f9 -4ae269fb -b54ba13d -f9055540 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-01.reference_output deleted file mode 100644 index ab8c7a0e6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-01.reference_output +++ /dev/null @@ -1,116 +0,0 @@ -c5776b63 -7b6f7cf2 -02848155 -0989616e -44a0ba78 -7e2be052 -338d226d -f087e6a8 -ad8420cc -23f7f8b9 -a52d1dc7 -957561f7 -a256acf2 -6c3277b7 -44401065 -a4bc9818 -3938bf64 -468fa8e0 -37e859b3 -d7f16ac1 -edcd6363 -63243563 -4e6816c6 -a8998300 -70b9bb5e -d7b11312 -ac5512b4 -e57fa041 -a5df0b9e -7cde4ea1 -c0a30a88 -741cb941 -bf15bb0d -422e334a -e065af46 -04b14368 -d82dd539 -1c79891a -188f0917 -92cb8d89 -a60a95dc -3f00a66d -68895441 -0f42a1b0 -162de68c -0dbb99bf -9498559b -e98ef8ce -df87d9e1 -11281e69 -00000000 -00000000 -9e570370 -661d3548 -6363bde8 -1f63634b -8a74a6ba -2e8bdd1c -a9377a6c -ea4ec865 -08f4d5e7 -6dae568d -5c3a95c2 -62243291 -79ac06e0 -0ae4d349 -884f5e46 -149081de -dbb82a60 -dc0bee22 -17135dc4 -3d440c64 -737e97cd -ec19a75f -f540ffbc -2138a310 -d2da9d51 -8ff3b692 -85aa3c45 -7f33ef50 -a8024dd0 -fb9ff943 -5b004c6a -39b1d14a -cfbefc53 -ed58cb20 -a02ce352 -b35a8329 -84d66e09 -1a2f3b1b -9a232707 -e205c7eb -75809604 -c3b21218 -cc93d834 -f1f7fd71 -15e53fb7 -2631a536 -f0c9a4ad -af47829c -c0a259ca -7d72d4fa -c577d730 -2b6f7cfe -76676b63 -7bab01f2 -eee68155 -09abf96e -edcd28bb -2a2435d0 -0eb5c161 -b9f63e86 -c625bde8 -1fb4784b -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-rwp1.reference_output deleted file mode 100644 index 5f336776e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-rwp1.reference_output +++ /dev/null @@ -1,156 +0,0 @@ -dca78d08 -1e54a501 -148b7450 -21accb6c -6f025d3c -b25101d4 -4a728f91 -919239a5 -844269df -16abe758 -7b5c3230 -4adf0306 -4a9fd4db -97b52563 -0d35176d -d35abf58 -a45bd5de -8f883806 -278ced31 -591004b8 -03592af3 -d9244e99 -e6a91a8d -e458db60 -27c84e74 -c86f8640 -7a06fa08 -f921019a -13b726b7 -c42715ad -5a525cfd -1c001209 -183b4232 -e48367d8 -b6737b93 -a2d191f5 -2b7f3546 -2831236b -6a81f75f -4e034af9 -dceac5db -452d6c90 -ca415334 -0c8293f1 -99542855 -d201cf8d -e6ac0ab8 -c2102139 -51303fe3 -89b05acf -2eefa23a -73bec4b0 -a1e7e498 -0342de4c -4023d98c -c99258ad -aec9edce -ce3560c5 -42fbb322 -bc4133c2 -8bd0eeb8 -b5aec5cf -9f96364f -6f587d35 -99f631dd -a1e659ec -623a7d88 -b5975bd9 -da2a18cf -a5811e78 -f3884f90 -0e042ab9 -7c3dda68 -690f5f73 -eeb8ae0d -e0fe9edc -4e332afe -e105204f -929ad2b1 -00e65f20 -d87d95b8 -4a114c03 -844a1122 -3ee4e1d6 -90a4b89c -2ed1c380 -70112874 -da65254d -0a0c1bbe -4c34cb28 -145ab9e5 -d8828316 -c5a666e6 -5271a2ec -8de02713 -c960716f -dcb14bdc -927c00e2 -887a38de -11a23160 -6b2c6a42 -82a347f0 -a698875c -6870614f -e5e614dd -f1a93822 -ce04cc14 -3479a3b6 -adbada97 -370e6be3 -3c17af51 -c7196169 -0dd7aa21 -dfce7a8d -e56ec4e5 -d14cebaa -0651ba9e -d7d2b3e0 -0b148641 -fd8f7340 -25458cca -14a3cafe -79c5eb39 -6a375598 -21adfb62 -a846697a -0b2a7d95 -4a5cc425 -da69a80c -97819430 -5ffc208d -0926fb38 -7de4a92e -dce5788a -360ba353 -6f28a238 -30a56203 -7c02d34e -c3474472 -99462804 -9a57fbc2 -b5b3071c -4841156a -862b64d8 -d8d303ce -54c4b6ff -0f65534d -2d3626aa -f5cc2a28 -00be76c8 -6d131450 -7c946a28 -b2aeeb1c -d786f9ea -bf2cb3d8 -6461c551 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-01.reference_output deleted file mode 100644 index 56234b327..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-01.reference_output +++ /dev/null @@ -1,116 +0,0 @@ -28b2e9c9 -e8ddc06f -fec1d9b4 -481d25ff -1a55a8c1 -c1668aca -7e1b9602 -79501d0d -03ff9f4a -6ccbe941 -33742f3a -27d83fb6 -a80fe9e4 -5f1063b2 -00000000 -00000000 -59e07013 -908767f1 -11781549 -7630ca01 -f23e4a35 -2ed3fcea -b3d21c8b -514905af -001c93a3 -211a2b77 -c3e3c3bc -cd2b7ee3 -3cc45740 -90cc3829 -b184a276 -8e5c783a -dc46e066 -47e31cad -db184ce3 -425e22a0 -18c527e3 -e64584d1 -8f3397a2 -f9245cdc -b7ac55ab -6f8a0514 -cea4019f -368420ce -cc099d32 -c00dd1ae -484216de -f61145f3 -c35705f1 -af143cc9 -cbc33221 -309f421a -e364112c -3ced63b4 -2c4e20f4 -2f6163b5 -0871fb60 -2ebe15e1 -b09868c8 -e614d62d -03d9594d -ae2ba538 -4ac7e15d -7a4dfb29 -632e7a04 -f857c912 -d005a3a9 -405878bb -9f573cdd -20a524ba -37865c70 -9ea78da5 -4333092e -9ce5cdb9 -91c76ccc -e9a024c7 -0b0ed716 -ed536482 -48a25de1 -d258bfc6 -6f7ae4c6 -4e89ebf2 -44cba95b -cca90274 -3282a6c8 -3277a8b3 -62125b16 -dfd06925 -b04730f2 -0d50064e -22d3b6de -3b1b9378 -f016dd5c -152b3277 -949efd44 -607163f8 -3266e8c4 -829b128f -7a8b4e8f -3f7ae556 -25974506 -494da287 -615dd9b0 -5c219c27 -455c6a6a -51336d2c -5f2a933a -f2c1686e -aa13b6ca -99d173ae -7739c1fe -5f14489b -680a3a09 -e25c4d63 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-rwp1.reference_output deleted file mode 100644 index 2f0f74e2c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-rwp1.reference_output +++ /dev/null @@ -1,156 +0,0 @@ -91af27e7 -ce2eb2bc -27152aa3 -91872f13 -5c9c03cf -027ae5ab -c124488b -660beb19 -42a54fd8 -88c87d3f -bdbb1437 -d4bc9961 -a9f4981f -00957a8b -8f0711db -b03d00e3 -2669d368 -ecef87bd -7cb658e5 -7577d92e -7b6e4fd9 -73efb006 -9e9e7fa7 -4e9325ff -54d88cd5 -a25b2eb6 -10709779 -7cc902f4 -79c14bc6 -41cf16c3 -a6ebe90d -313f3138 -1f2ee381 -44956c65 -b166da20 -02c79a48 -d6f08687 -ff5256aa -430f5857 -c567267a -f5646ad3 -ce490013 -c1a09b16 -01695dd9 -aa65601f -fd2dd998 -d59d42f2 -ed3c372c -934f9cfd -a96e3952 -5f2f6148 -578689e1 -d02727ea -277a931d -f5d30010 -af8a79f2 -2a43e1cc -868694ca -c671bf20 -f4f2c7cd -e06b9f19 -508902ca -54f41dcd -a44948da -52941a5f -6af76c03 -00275ed4 -cd737866 -d7f65b5d -468b79f6 -fe540c02 -ed0e4d37 -a74cfce4 -1789b561 -c6e177a5 -c49e0204 -666af356 -c565bc97 -bfe1291c -d9a8af47 -90a4d66a -ccb3e289 -cc9352f0 -b8464f5c -98dc90c4 -d5885bba -45ee67f1 -38d7724a -3ff3543b -ae869c2f -ffd4764f -90f94ee8 -60831e1e -585a721d -28c55feb -c34ba19e -26915e13 -7eb7f431 -3091a81d -113dee20 -d3c7fa81 -823c98b0 -ac015c14 -10767525 -5f2a219e -0e5b4354 -74c8f957 -cb8bd8c0 -83ce4057 -35cd1059 -33941163 -566847af -02541413 -4ebf5c4b -4fc9210d -fbb162f4 -5e8c04a5 -ef8e2710 -53c9387a -c5d3e7b0 -c6a32360 -58559b15 -97c8d9e8 -051d0189 -cfa0c9b3 -c76c3d6b -e5a11a97 -6387180b -7a032648 -701f0cd1 -ff96aec9 -eeb863d9 -c5d32d25 -bb5c92be -31bc2565 -1c7b70ca -3712e435 -0f5101bc -08e6f0ac -4b1007af -de224c44 -e1a26937 -0c34a2ec -d23a0af3 -32037e89 -c0268bb4 -ffc54b05 -af97913e -056c3260 -821fc15c -2dd520e2 -7ef52706 -1e7d8a02 -ef66458e -13ffd2c6 -5c817935 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-01.reference_output deleted file mode 100644 index 01fc206e0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -be082513 -deadbeef -52099289 -deadbeef -248444c4 -deadbeef -1f4f2f6f -deadbeef -8fa797b7 -deadbeef -c7d3cbdb -deadbeef -e3e9e5ed -deadbeef -f1f4f2f6 -deadbeef -13be0825 -deadbeef -89520992 -deadbeef -c4248444 -deadbeef -6f1f4f2f -deadbeef -b78fa797 -deadbeef -dbc7d3cb -deadbeef -ede3e9e5 -deadbeef -f6f1f4f2 -deadbeef -2513be08 -deadbeef -92895209 -deadbeef -44c42484 -deadbeef -2f6f1f4f -deadbeef -97b78fa7 -deadbeef -cbdbc7d3 -deadbeef -e5ede3e9 -deadbeef -f2f6f1f4 -deadbeef -082513be -deadbeef -09928952 -deadbeef -8444c424 -deadbeef -4f2f6f1f -deadbeef -a797b78f -deadbeef -d3cbdbc7 -deadbeef -e9e5ede3 -deadbeef -f4f2f6f1 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -0b0d090e -deadbeef -ee815097 -deadbeef -fbad4f20 -deadbeef -8b14c9bc -deadbeef -607bf0cb -deadbeef -814653aa -deadbeef -3aacd31f -deadbeef -b4d951d0 -deadbeef -eaaaa9b2 -deadbeef -a4274a82 -deadbeef -c7ef3b79 -deadbeef -1ac19d05 -deadbeef -309e9e72 -deadbeef -94b7345a -deadbeef -d7bd7a3e -deadbeef -7d569544 -deadbeef -8e3a2d4c -deadbeef -0a0abec7 -deadbeef -4696dd98 -deadbeef -b1e354e2 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -41f7daec -deadbeef -adf66d76 -deadbeef -db7bbb3b -deadbeef -e0b0d090 -deadbeef -70586848 -deadbeef -382c3424 -deadbeef -1c161a12 -deadbeef -0e0b0d09 -deadbeef -ec41f7da -deadbeef -76adf66d -deadbeef -3bdb7bbb -deadbeef -90e0b0d0 -deadbeef -48705868 -deadbeef -24382c34 -deadbeef -121c161a -deadbeef -090e0b0d -deadbeef -daec41f7 -deadbeef -6d76adf6 -deadbeef -bb3bdb7b -deadbeef -d090e0b0 -deadbeef -68487058 -deadbeef -3424382c -deadbeef -1a121c16 -deadbeef -0d090e0b -deadbeef -f7daec41 -deadbeef -f66d76ad -deadbeef -7bbb3bdb -deadbeef -b0d090e0 -deadbeef -58684870 -deadbeef -2c342438 -deadbeef -161a121c -deadbeef -a5d8c4d1 -deadbeef -ffffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp1.reference_output deleted file mode 100644 index a157e3c16..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -20cc0924 -98f2b452 -5b452048 -0b0f7eea -8476d693 -6c023547 -8148a6d8 -b92dd850 -7eb75927 -46d227af -0dd09a3f -9c55496a -9675f16a -e9dbf32b -11ff1ad6 -7dd9bde4 -acf6b0ac -4f0e7329 -9c710ca7 -b0d3bac2 -636f5748 -eca75e9c -00e1a410 -a38b1ba1 -4d1a4341 -1f1d9808 -b2e5bcbe -e0e267f7 -53074732 -6fef7bd6 -f349a53e -084e462d -f268012d -64c32a8c -85d9f49f -f3461900 -faf6e5de -308f998a -5398276d -6c5d1ed4 -56913d4c -a32d78a1 -a42cb886 -3ff54ad7 -5bd34779 -c00ab528 -d625f8df -0c6725bc -5233cf7a -f0d326f7 -fba2922d -4da5ae55 -1515b62e -d5a6539a -edcd65dd -78ad91fe -083d55a3 -45d10407 -1a0fcf81 -c2836a06 -fb9e98a6 -997d6ba2 -04616759 -6682945d -d6d5b6ce -26575c5d -f33165dc -fe4064aa -d921965a -3bbcfaa4 -b1a509ca -bd7bb672 -a41fa9c5 -06da09d7 -cdae757a -3bdc1de0 -964e2340 -c2f9ebc8 -d7c90faa -aa93b392 -2836f055 -556c4c6d -41c6b234 -bd83b67d -433fb2cf -1636ec39 -acf18f8e -533d0070 -c4490a21 -3441a740 -e112782d -c8c164ff -4f5a418c -8e9392d2 -51b7c65e -b9ad09d2 -864aed5f -748f4175 -79b512a0 -8b70be8a -18496d21 -b866479b -50a51ff7 -3d0ac6f3 -feed5998 -835dbd20 -ee915c98 -58d5d905 -e85f373b -3f561c24 -5e3405bf -34783a4d -4994cd7b -f4d1d996 -15ba4783 -938b6a18 -ea45b87c -6c7495e7 -0f65a0a0 -5f2a25d6 -d79fac8e -7fc7122c -8e0adf12 -8af53896 -81fd5f28 -f909502b -ce2f06ec -6bc5cfea -b1d72401 -7bd4215e -8007dd12 -efee114b -ee7823fd -dcfb94e8 -1187dc02 -23046b17 -7dfda0b2 -f92aad54 -cfaf2ddf -9215923f -4fa750cc -a22780f4 -f261884d -c35a255f -b43c62bc -efddd405 -3b34241e -9f21cef9 -70f7b95d -8f03e503 -752a7a46 -281796c3 -8ad585b9 -d7e8693c -0c721591 -24a25aa0 -2244d448 -650ce3f6 -b14d1aea -d608fef2 -121290f0 -bed9e518 -befafcd8 -b818ccf6 -52c8a234 -ca6c9ff1 -13cda113 -8d8bacf8 -cc1ea618 -17a49273 -33e159e7 -e85b6d8c -ea532d08 -3f220927 -145df025 -67bc37df -00904f11 -da308ae7 -d235139a -6e5e995f -5859452a -fa3dfacb -5e3942b8 -3483de12 -f99ff86d -3141db26 -e49c1893 -13aff8c9 -1b63e76c -ec500736 -dab51b9a -6f9ee25f -ac08aae0 -1754020d -b268b272 -e61226e6 -d295e997 -7a9113e5 -5ef91789 -1562462c -775b40d6 -bee772ed -d65da8a0 -547acb3e -2e7e4d9e -9374a19d -d181b261 -6c8b5e62 -24da1627 -7c995be6 -0709b071 -6101f6ce -30ac07d0 -0c872b8f -e49adff7 -990c7a8f -fe1969d8 -594a7a09 -5e92ed2b -58b1c49a -5f747b0c -fe04416c -e3537f93 -3e6534b8 -1cac806c -c19acb47 -e49cdff7 -9af382af -c83d53f6 -c21852cc -68c8d8e9 -c4141160 -52135d56 -2de37f54 -4ab2d163 -00028364 -168555f9 -74f72eb1 -a3c87b65 -8b0a522a -896cbb2b -ebe3db2a -769344d4 -141c24d5 -6a5c5e66 -ce0c8056 -dca6f480 -72541426 -38de791a -e749c1fc -c3e3c21c -d0bc9a3a -2d3dcb25 -dabc13b9 -5720f8ef -4cedfddc -85e2cc35 -69ae119a -c35fadaf -75f1955d -3ca05250 -8a0e6aa2 -341c25a0 -dd3f62c5 -f138a1c5 -7c7e490e -6b55d58f -12d03774 -2dc51a2a -072cdbff -bddd2199 -c66c04a1 -f59b606c -5d7dd722 -b7b9be0a -64ee2c7c -ef42899e -3fcfd8f2 -10bd7661 -c030270d -adc99dd4 -374edbff -c12bab6c -a2cdf4c6 -0971ed61 -3ddfc84a -74bd2e93 -971acc3b -2b7599b3 -24188bcd -c823cb2f -b719fd5d -1ca9ad63 -6cfe896f -9e53d96f -2a4a2733 -61ac2690 -d5b5d8cc -7b41ceab -971d313c -b321b875 -a7451471 -96780b11 -3a468b02 -f7f576a7 -2ab7ea92 -74b3485c -e08ef17a -5f519095 -255e6aee -d41d2736 -3a2f646b -a87af0fa -31c45bb4 -57850f05 -ce3ba44b -07fa8737 -b5380c9b -42115846 -f1497cde -6df4310f -b71a1872 -b287d885 -6d8e7a4d -6ae0d032 -5f5cfb2c -5b20d542 -478be0c8 -ce3ffa8f -e728e41b -54f8735b -a3cac099 -ab078ca4 -5c353f66 -b507e365 -8e307d8d -c264fc6e -619d0ebc -f42501de -7a742aa0 -51704b2a -a57f8862 -b93723ed -2f0f6272 -b4721f32 -0552a2d2 -f2bac320 -d5a23f5f -0df07127 -f03a34e9 -f20f8ed8 -0fc5cb16 -697ab4d4 -b9800962 -9714ac5c -16a302e5 -a459e93b -4100c385 -9b07ed10 -afb2f00c -113ca5e9 -3deebb89 -4954b5b2 -ff9f876b -a797efa4 -3d8ec31d -14b5aa70 -80a1a4e8 -eb4a558f -7f5e5b17 -1bd80da6 -303509d1 -27a3e10d -62edfaa8 -800bf168 -255f378a -5ce4e7ad -7a9113c2 -5c931825 -5ffa42dd -d90690a4 -c15d2dd5 -7a6a777e -615890f7 -97cba8ed -27386a2b -68345712 -d8c795d4 -5f06f7ad -82ddebd3 -2d358398 -ed294ae9 -b2cb0c19 -8bd0b9f1 -939e1ac3 -24eeb7cf -0fc5352b -c6b02aee -096bf47b -d59a5b98 -f9513eaf -ecd58e89 -8e253ba9 -60197e39 -71dac456 -9fe681c6 -9bdf9ce3 -4aef382f -8f5ffe15 -06c60b79 -960ebf65 -19f07cef -f9da381e -374b8630 -288ce342 -0b843570 -fa9a0dea -381c56b4 -2de91157 -cc679c3b -d74af4eb -0e2d4669 -28b50b14 -f1d2b996 -fde3c55e -386c49b8 -81dca870 -064326c6 -53f39718 -39db8a8b -fdbc23ec -fb24d57d -18f7c1a0 -b61c76ab -e25eb8c5 -9b9426c9 -0556869a -d277af9d -1bec06be -e0e0a83f -e413f941 -1f1f57c0 -01bed3ee -0434d582 -5f7e9231 -08c817b0 -5a280b96 -36506813 -01be696c -3edc9bee -7da37a1e -6488f48f -702122da -d76fc834 -f27da73b -4c18c344 -bff0cc90 -47ca752b -400f336f -b8358ad4 -19be8a6c -4122e410 -f6f1e7a1 -026f9268 -04744065 -b656cf24 -52df1cb0 -0e8b61f7 -9c4b9066 -cbfa82a0 -dc9b7581 -e6e9b0ae -bf2f1a18 -d2eccdf1 -26a6bcae -faf6e1ef -d9594351 -05091e10 -52e0df3e -50ab8607 -75375e4f -0c472e1f -b6084436 -395a602d -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp2.reference_output deleted file mode 100644 index 11f31698b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -5e7b5003 -de2093fd -7b89296c -93fdcab8 -7eb75927 -46d227af -7dc59a3a -d2d6b877 -9aa74d68 -f9a51e89 -a749f9c5 -cf84b683 -1890f9a3 -0bad8e56 -08ceac39 -854a9657 -31553304 -08c507c4 -53e8eb43 -137a9777 -2e94b019 -5031dd35 -ff1e5bef -5c74e45e -b2e5bcbe -e0e267f7 -6b9f15c4 -dc338383 -db0def1c -d3d649a3 -9a6ab329 -5ae6a228 -5491a906 -ee0d7aaf -acca7f0d -432779ee -2083db6c -c0cc07f8 -2cb7362c -af949e5e -a125a2a7 -f0852ca2 -a96ec2b3 -5cd2875e -5bd34779 -c00ab528 -708cc1b6 -9d02fc90 -aa38160f -3273ae1c -0b54aa22 -953b00b0 -de1ccadf -5388fa3f -3c53d0e3 -224c0601 -8ef1daf9 -f8b30e2c -f0e58650 -e8dac663 -e9ac0284 -1e2f05a3 -e5f0307e -3d7c95f9 -04616759 -6682945d -aaac3142 -8c8a18b2 -cbc5bac1 -2dddfaa6 -6f9fb997 -785036de -8530f49f -96944381 -a7298c66 -95a4d257 -fb6018e7 -6e445ac4 -d814d575 -807da245 -8c295990 -53b645ba -69b1dcbf -3d061437 -2836f055 -556c4c6d -08208d09 -7f216822 -936f5505 -2d25120e -dae98554 -14b91c79 -09fe899c -3c07bf4c -c6880007 -c5ec6148 -5d290934 -6218c6bc -6a013380 -7213516d -98a76a8d -43b1da75 -ae4839a1 -4652f62d -79b512a0 -8b70be8a -9e044706 -85986adb -a57ad1d5 -130ebf0e diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks1i-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks1i-01.reference_output deleted file mode 100644 index 2f24ee079..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks1i-01.reference_output +++ /dev/null @@ -1,172 +0,0 @@ -c56f6bf2 -c56f6bf2 -bca32d60 -bca32d60 -b649a998 -b649a998 -6b2b75f4 -6b2b75f4 -76e71700 -76e71700 -95398f7e -95398f7e -cfa978b8 -cfa978b8 -283fe4ec -283fe4ec -0a11bff0 -0a11bff0 -cd16b8ef -cd16b8ef -63636343 -63636343 -121455ab -121455ab -410e5b1a -410e5b1a -3f60df46 -3f60df46 -12d06ffd -12d06ffd -4a0356fb -4a0356fb -ac6a0312 -ac6a0312 -c6d879b0 -c6d879b0 -4780bd4a -4780bd4a -891aa801 -891aa801 -6d9d0a91 -6d9d0a91 -7b777c63 -7b777c63 -16bb54b0 -16bb54b0 -0f2d9941 -0f2d9941 -6842e6bf -6842e6bf -0d89a18c -0d89a18c -df2855ce -df2855ce -e9871e9b -e9871e9b -948ed969 -948ed969 -00000000 -00000000 -9e1dc186 -9e1dc186 -b9573561 -b9573561 -0ef60348 -0ef60348 -66b53e70 -66b53e70 -8a8bbd4b -8a8bbd4b -1f74dde8 -1f74dde8 -c6b4a61c -c6b4a61c -2e2578ba -2e2578ba -08ae7a65 -08ae7a65 -eaf4566c -eaf4566c -a94ed58d -a94ed58d -6d37c8e7 -6d37c8e7 -79e49591 -79e49591 -62acd3c2 -62acd3c2 -5c240649 -5c240649 -0a3a32e0 -0a3a32e0 -db0b5ede -db0b5ede -14b8ee46 -14b8ee46 -88902a22 -88902a22 -dc4f8160 -dc4f8160 -73195d64 -73195d64 -3d7ea7c4 -3d7ea7c4 -1744975f -1744975f -ec130ccd -ec130ccd -d2f3ff10 -d2f3ff10 -21dab6bc -21dab6bc -f5389d92 -f5389d92 -8f40a351 -8f40a351 -a89f3c50 -a89f3c50 -7f02f945 -7f02f945 -85334d43 -85334d43 -fbaaefd0 -fbaaefd0 -cf584c4a -cf584c4a -39becb6a -39becb6a -5bb1fc20 -5bb1fc20 -ed00d153 -ed00d153 -842fe329 -842fe329 -b3d63b52 -b3d63b52 -a05a6e1b -a05a6e1b -1a2c8309 -1a2c8309 -75b227eb -75b227eb -e2801207 -e2801207 -9a059618 -9a059618 -c323c704 -c323c704 -1531d871 -1531d871 -f1e5a534 -f1e5a534 -ccf73f36 -ccf73f36 -2693fdb7 -2693fdb7 -c072a49c -c072a49c -afa2d4ad -afa2d4ad -f04759fa -f04759fa -7dc982ca -7dc982ca -76abd7fe -76abd7fe -2b670130 -2b670130 -248893fd -248893fd -1198f8e1 -1198f8e1 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks2-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks2-01.reference_output deleted file mode 100644 index 4621edd7c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks2-01.reference_output +++ /dev/null @@ -1,440 +0,0 @@ -50e93920 -254a9493 -0000000d -0000000d -ffffffff -ffffffff -fffeffff -20010000 -ffffffff -10000000 -ffffffff -08000000 -ffffbfff -04004000 -3fffffff -c2000000 -00000001 -fefffffe -00000002 -ff7ffffd -bfffffff -40400000 -00000000 -ffdfffff -00000000 -ffefffff -00000200 -fff7fdff -ffbfffff -00440000 -ffffffff -00020000 -00000000 -fffeffff -00000000 -ffff7fff -ffffbfff -00000000 -ffffffff -00002000 -00000000 -ffffefff -ffffbfff -00004800 -00010000 -fffefbff -04000000 -fbfffdff -00000000 -fffffeff -bfffffff -40000080 -ffffffff -00000040 -08000000 -f7ffffdf -00000000 -00000000 -fff7ffff -00080008 -ffffdfff -00002004 -feffffff -01000002 -00000000 -fffffffe -7ffffff7 -80000008 -40000000 -bfffffff -20000000 -dfffffff -10000080 -efffff7f -08000004 -f7fffffb -04800000 -fb7fffff -fdffffff -02000000 -03000000 -fcffffff -ff7ffff7 -00800008 -ffbfffff -00400000 -00200200 -ffdffdff -ffe7ffff -00180000 -00080000 -fff7ffff -00048000 -fffb7fff -00020000 -fffdffff -fffeffff -00010000 -ffff7fff -00008000 -ffffbfff -00004000 -00002000 -ffffdfff -ffffefff -00001000 -fffff3ff -00000c00 -fdfffbff -02000400 -00000200 -fffffdff -fffffeff -00000100 -00000080 -ffffff7f -00200040 -ffdfffbf -ffffffdf -00000020 -20000010 -dfffffef -fffffff7 -00000008 -effffffb -10000004 -00000000 -ffffffff -fffbfffe -00040001 -7fffffff -7ffffffb -bfffffff -9fffffff -dfffffff -dfffffdf -10000001 -effffffe -f7ffffdf -f7ffffdf -02000000 -fdfffbff -01000000 -fefffffe -ff7fffff -ff7fbfff -ffbfdfff -ffbfdfff -ffeffff6 -ffeffff6 -01080000 -fef7ffff -00040000 -fffbfffe -00028000 -fffd7fff -fffeffff -ffeeffff -ffffbffd -ffffbffd -00002000 -ffffddff -ffffefff -fdffefff -08000800 -f7fff7ff -00100400 -ffeffbff -00000100 -effffeff -ffffff7f -3fffff7f -ffffffff -ffffffff -ffffffdf -ffbfffdf -fffdffef -fffdffef -fffffff7 -fff7fff7 -fffffff9 -fffffff9 -ffffffff -fffffeff -01000000 -feffffff -efffffff -efffffff -fffffffc -fffffffc -00000000 -fffffbff -fffffffe -fffffffe -00000000 -fffffffe -00000002 -fffffffd -00000000 -7fffffff -ffffffed -ffffffed -ffffffff -ffff7fff -9507f887 -0c098d6d -196460c0 -9f6fba6d -a4c9610f -c22382d6 -c752b5b7 -df741db3 -c76e065e -ee51993e -77c4a8eb -6d651600 -abc99e1d -2db16bfe -739c9bc2 -28ef976f -74364d26 -c61df258 -9d933776 -ce3559a7 -f452bef8 -42abce97 -94367c85 -4460142b -3eba7e85 -94d1cc38 -0aa128d4 -233d131b -721878ba -6ddceb70 -b2969831 -46559b36 -ba2e7f3c -1a78e24a -093b4744 -2494dd83 -b11cf4be -8545ddf0 -ffffffff -feffffff -00000000 -ffbfffff -00000000 -fffffeff -00000008 -fffffff7 -02000000 -fdffffff -ffffffff -efffffff -aaaaaaaa -ffffffff -00000000 -fffffffd -ffffffff -feffffff -ffbfffff -ffbfffff -00000000 -fffdffff -fffffffd -fffffffd -ffffffff -ffffffdf -ffffffff -ffbfffff -ffffffff -ffffbfff -ffdfffff -ffdfffff -ffffffdf -7fffffdf -55555555 -15555555 -08000000 -00000000 -00000000 -04000000 -ffbfffff -ff3fffff -00200000 -00000000 -00080000 -000c0000 -ffffffff -fffdffff -ffffffff -fffeffff -fffff7ff -ffffd7ff -00200000 -00201000 -ffffffff -fffff7ff -20000000 -20000400 -ffffffff -fffffdff -00000000 -00000080 -00004000 -00004040 -ffffffff -ffffffef -00000000 -00000008 -00002000 -00002002 -00000000 -00000001 -7fffffff -7fffffff -40000000 -40000000 -dffffff7 -dffffff7 -08000000 -08000000 -44000000 -44000000 -fdfffdff -fdfffdff -01000000 -01000000 -80800000 -80800000 -ffefffff -ffefffff -fff7fffe -fff7fffe -fffbdfff -fffbdfff -fffeffff -fffeffff -ffff7fff -ffff7fff -ffffffff -ffffffff -ffffeffb -ffffeffb -fffff7ff -fffff7ff -fffffbff -fffffbff -aaaaa8aa -aaaaa8aa -fffffcff -fffffcff -ffffff7f -ffffff7f -00000010 -00000010 -80000008 -80000008 -00000014 -00000014 -fbffffff -04000040 -80800000 -80800000 -bfefffff -40100000 -fffdffff -00020800 -ffff7ff6 -00008009 -55554555 -00001000 -0000080e -0000080e -00000204 -00000204 -fffffeff -20000100 -ffffff7a -00000085 -ffffffb6 -00000049 -00000020 -00000020 -00400004 -00400004 -00000802 -00000802 -00000011 -00000011 -ffffffef -00000010 -00000000 -00000800 -fff7ffff -00080000 -0000000a -0000000a -ffffffff -01000000 -ffffffff -00040000 -00000020 -00000020 -ffffff7f -00000080 -fdffffff -02000000 -ffffffef -00000010 -ffffffff -00000004 -fffff7ff -00000800 -04000000 -04000000 -00000005 -00000005 -ffbfffff -00400000 -ffffffff -00000000 -00000000 -00000001 -fffffeff -00000100 -40000000 -40000000 -bfffffff -40000000 -00000000 -00100000 -860068c2 -43527ea2 -00000000 -bfffffff -ffffffff -00020000 -00000000 -ffffffef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/andn-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/andn-01.reference_output deleted file mode 100644 index 8513be14d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/andn-01.reference_output +++ /dev/null @@ -1,1048 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -c38671b6 -6f061d6d -00000000 -00000000 -2502307f -485246bf -392e6fa3 -c50bd94a -80e4d23b -80627494 -cec7313a -2005b307 -5d003e38 -31410cba -0b815ef0 -9681bcad -83e2ba3a -3328804a -46e4abd8 -e48bf412 -242d8cef -061bf2da -d8d8dd47 -810d9484 -c1f36fae -0097ed4f -d7704260 -044bafe7 -058160e6 -3001038b -d8bca4df -a4603852 -e812dd49 -4084177d -3d32d01e -4216a775 -2037e34e -481c5e43 -874434d3 -50010372 -875e9bf5 -c00c84b7 -17d8a217 -a293021c -87f996d6 -0c80300b -0d42ea01 -0000b460 -2de4eb20 -0200900f -d6308385 -05215435 -ab72f99f -8a340809 -4e7b7359 -00c806cb -d96429c9 -b6de8bcf -c8cdf63e -a1981301 -c06209fb -30480609 -644918a7 -101d0c00 -4f63879f -3400001c -1f3c1ad7 -a2162046 -1bb3e347 -300d8260 -0bedcfc5 -c1084340 -14496066 -e50000a4 -88552f4b -042aa060 -04507198 -001e8241 -0256b0e2 -22800102 -9929dfeb -348532c0 -b22036ed -02880001 -83422130 -00144495 -2141c528 -50102014 -400397cd -07800242 -2001c392 -6180a261 -50942250 -10702019 -2c0090b1 -16a01400 -56d646e8 -98108110 -4510b7ae -5267c011 -00800bd9 -0c894280 -223c8755 -2a020918 -609200d7 -54985000 -82082833 -94910504 -012f68c9 -16421000 -0e620056 -923eb0ca -2300401d -03ab40c3 -c081b2ae -62a70209 -706d811d -0300818a -a601008c -8bc01740 -61620c07 -4243c262 -48112301 -65802100 -01808080 -a0c04383 -00042e02 -00438b44 -00000000 -00000000 -00000000 -00000000 -00000000 -40000000 -00000000 -20000000 -00000000 -40000000 -00000000 -08000000 -00000000 -a8000000 -00000000 -02000000 -00000000 -52000000 -00000000 -25800000 -00000000 -06c00000 -00000000 -54a00000 -00000000 -54200000 -00000000 -43200000 -00000000 -14000000 -00000000 -08320000 -00000000 -4d410000 -00000000 -91428000 -00000000 -820a0000 -00000000 -02602000 -00000000 -0000b000 -00000000 -60029800 -00000000 -82210400 -00000000 -01c00c00 -00000000 -40105500 -00000000 -2c00d200 -00000000 -43080800 -00000000 -b0005100 -00000000 -14002010 -00000000 -23038188 -00000000 -0d408030 -00000000 -05056902 -00000000 -90140242 -80000000 -10900208 -00000000 -08624845 -60000000 -40490500 -b0000000 -70010508 -00000000 -90108045 -40000000 -84750060 -70000000 -014aa031 -0b000000 -905e9560 -e2000000 -0404a207 -2c400000 -7b835008 -02000000 -a8804320 -7a400000 -00400344 -00c00000 -c1466049 -02ec0000 -51109501 -08860000 -244005c7 -82450000 -80140202 -01e90000 -1281013b -71050000 -05000504 -10002000 -949c4040 -10000000 -28000045 -e201e000 -ae202c62 -20042c00 -47508081 -56161000 -190228a1 -02960800 -00100504 -0c041080 -01551a00 -92801040 -030628c5 -46803300 -793503b0 -2061c490 -0824c000 -a0000840 -08120780 -92c92e34 -058b2020 -000016e6 -84404414 -20747604 -405c8a0a -08884215 -010f80c0 -0c44a118 -1093a202 -00095300 -06000000 -4800e418 -23820401 -82280a60 -0068a003 -0620a140 -80a84804 -200c0200 -02027000 -99000680 -01000a71 -29290800 -04a00806 -b2024200 -04000017 -81064000 -08444060 -00402800 -60048880 -c8013000 -40040228 -08c84000 -82480084 -03228000 -10808048 -00208000 -0261d527 -832c0000 -a0a46202 -08180000 -08118d07 -2a080000 -6084a180 -60c00000 -42216218 -13800000 -0904e404 -40200000 -d10dc11d -21400000 -0b605a8c -41800000 -04e30623 -01000000 -8530424d -0a000000 -e8104090 -48000000 -1081008a -08000000 -08a9340b -50000000 -0a0480ca -00000000 -0a800000 -80000000 -0ab0cc00 -00000000 -110116a1 -00000000 -c0280501 -00000000 -09026842 -00000000 -0034060c -00000000 -c0003a08 -00000000 -080a8540 -00000000 -200a2040 -00000000 -1c804140 -00000000 -80008200 -00000000 -08810300 -00000000 -81180a00 -00000000 -40541400 -00000000 -0328e000 -00000000 -88080000 -00000000 -04350000 -00000000 -10908000 -00000000 -c0038000 -00000000 -04110000 -00000000 -69920000 -00000000 -00000000 -00000000 -e0400000 -00000000 -99c00000 -00000000 -17400000 -00000000 -a0800000 -00000000 -04800000 -00000000 -01000000 -00000000 -4c000000 -00000000 -18000000 -00000000 -10000000 -00000000 -30000000 -00000000 -20000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -081602a0 -0168a920 -54c40248 -1012a08a -11828912 -40200012 -02ae1086 -269299c2 -2280178a -00152110 -0c2ef85d -24002200 -88062121 -02052111 -730f580d -49000483 -414124da -04019611 -00f428f1 -04c04041 -e1087378 -c3106040 -081001eb -0c040b00 -30e10845 -55618a14 -ba08575d -02352284 -1163166e -08155004 -b23c793c -89240013 -9802b3e8 -2311040c -12306b95 -80030414 -0802bf7e -21202284 -1016352d -0190e80a -10606b75 -209a40a2 -20c7281d -01038401 -64207a6e -42058313 -f3695e7c -8d201049 -0206ce0b -10596211 -00adca5b -0018884e -2393cd5a -0000480d -9585a3fa -63604161 -c81f21ff -200088d3 -1288f0c3 -42184280 -3203dcf8 -9c108b00 -31911bf7 -c6000e2d -6672eada -0024a430 -8a116ca0 -61ca40a5 -a630b44d -80052040 -686cc193 -3607b027 -69780d70 -40800101 -2d01d181 -24200715 -4928a17b -06a2291f -f6d6c917 -d4800143 -87b54144 -681cdc1f -b07d649a -e0644095 -e8474edc -70010242 -74e944f2 -040a27ac -c641de8d -112028da -6e1bd534 -a80a1799 -68a57aaf -76102e0b -4bcd2b08 -6081061b -4892bab2 -52880a5c -32634fc5 -2409a446 -11285db5 -208b400d -894e02c2 -0c255b70 -4c6774ad -2000fe62 -c3b9beef -2144cb7f -64fe0833 -0008f684 -a4cce666 -8526f5e1 -d2c8217e -a4e9cb1e -40681adf -0149d850 -92073309 -104b25e3 -1f7bf60f -264862ca -bcd00de7 -2c735232 -fc4e28b4 -01cc6135 -b4915fef -b853a012 -44170772 -50083f03 -d0746162 -5915e3f2 -264c4064 -00280928 -028884b0 -a0212156 -38e6a05d -92088000 -16118049 -a0050264 -44808120 -521a0488 -e501a402 -98a8c008 -01218042 -78052004 -982000a0 -2e000118 -06801052 -c3220c80 -42280120 -42000208 -01183245 -f088a6c0 -c2930404 -03e04044 -11904528 -9ad02091 -02207408 -d8209899 -12c41888 -6e180400 -102a4316 -6410c107 -8c108274 -764a40b4 -10220141 -946c0018 -00541008 -fd844400 -0a394014 -1d6d2002 -e620905c -91991044 -3268044a -1b2e2891 -2f086410 -d53c2488 -02180474 -c21668a8 -16a00242 -5c7fb009 -8160d030 -aeb0a908 -88623c00 -cc81f252 -34605048 -2041c022 -c508f730 -f0f1d8d3 -0600a106 -426e6dd9 -40700a00 -e715dfe5 -102a4001 -db54e658 -08848020 -a3729599 -80e40521 -6fd08d91 -4e020011 -1ad9c6d8 -e22100f5 -05d54cb2 -6020e401 -9e2e5be5 -795e0413 -866534cd -2080e20c -56f55245 -66127d00 -44eb31e4 -1f060430 -7db4d3b5 -7f20c144 -3372969f -8fc00006 -a38d0f39 -f2e04621 -b06670aa -ea741100 -23f7d227 -2c40622c -887aae6a -de14a008 -106607dc -6be0248e -c4aaf35a -1530140a -0981abb6 -b7e02901 -db14a009 -ca710223 -eea93b6f -167cc426 -fa342b15 -ec9a5180 -b7b8b1a0 -fb7a3b29 -3473f51f -f1bae488 -57d53e43 -63522412 -2141c6d1 -b3257d52 -e7ff25b9 -c196c580 -28c0d4ae -b6d3e849 -0942c3fb -79a2ed01 -418bfbb0 -13f5bc90 -af3aeaa3 -a111f5fb -1d849e2b -d4652688 -44339c10 -67ba7848 -746fe5b9 -caa0a141 -9064dbd9 -90034004 -080e5323 -002a2020 -20300201 -4030020e -28484392 -c2050029 -08000858 -04004a09 -00032000 -029471a8 -06320404 -00400841 -02d013c6 -0cc00682 -01550174 -88281820 -00a64420 -002a1731 -00484200 -f512e080 -00040095 -80e00090 -00010889 -04410520 -000d8019 -20401409 -00000100 -0a4bc278 -0002a012 -14000c10 -0001b440 -60100014 -00004022 -00028121 -00004040 -58000c20 -00002009 -094ce086 -00000400 -a9010234 -00000007 -e4502102 -00000203 -200c0631 -00000290 -a004b24e -00000122 -00262020 -0000000a -48008621 -00000042 -7001c198 -00000028 -ae096415 -00000010 -05246000 -0000000c -22500eb0 -00000006 -01168432 -00000002 -08180102 -00000000 -0900003c -00000000 -58c20584 -00000000 -10012108 -00000000 -18904405 -00000000 -00204406 -00000000 -0211e050 -00000000 -0001d120 -00000000 -00100221 -00000000 -00801212 -00000000 -00302000 -00000000 -00301042 -00000000 -00088000 -00000000 -00009a01 -00000000 -00020000 -00000000 -00024004 -00000000 -0001293e -00000000 -0000b807 -00000000 -00004520 -00000000 -00002000 -00000000 -00000829 -00000000 -00000a02 -00000000 -00000010 -00000000 -00000199 -00000000 -00000111 -00000000 -00000000 -00000000 -00000039 -00000000 -00000026 -00000000 -00000014 -00000000 -0000000f -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -8a000126 -02319082 -2501db00 -4001088c -64622480 -24002828 -200228a9 -1c00210b -469702c2 -00008000 -d286104e -04208060 -64a41500 -0080ca60 -901017a8 -01048410 -02024005 -000020a7 -10100404 -00122224 -63544003 -00242808 -058a4836 -00048200 -0185341f -00090023 -10441064 -00060241 -0a630102 -00032612 -4844042a -00010201 -028c0189 -0000c14c -78010024 -00004812 -44008500 -00000241 -0007a220 -000000a0 -91406492 -00000290 -24000c0c -000004b0 -c1088219 -00000019 -14010091 -00000020 -201000e0 -00000020 -96410481 -00000030 -18804009 -00000000 -17050814 -00000010 -120e3907 -00000008 -0b011224 -00000001 -6010b028 -00000003 -1400402a -00000001 -60012142 -00000000 -4070a804 -00000000 -06100a08 -00000000 -00401538 -00000000 -00023e21 -00000000 -02040a08 -00000000 -008a0200 -00000000 -000089e8 -00000000 -00a44150 -00000000 -004046b0 -00000000 -0011c002 -00000000 -0000e000 -00000000 -00005110 -00000000 -0006410a -00000000 -00010608 -00000000 -0000088d -00000000 -00009500 -00000000 -00000308 -00000000 -00000070 -00000000 -00001c0c -00000000 -00000810 -00000000 -00000468 -00000000 -00000012 -00000000 -0000001c -00000000 -00000080 -00000000 -00000006 -00000000 -00000021 -00000000 -00000010 -00000000 -0000000b -00000000 -00000004 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -28521002 -31282100 -8455001a -20010070 -14890542 -4814096e -ea244400 -89807c48 -21100108 -00002048 -81050810 -08809818 -0042910c -f0499020 -404240a6 -c211d303 -12680020 -63009500 -040c0102 -593a04a1 -00342080 -29d1f0a0 -0400c646 -01e16080 -09804030 -2586a0a4 -a2a00020 -46000c09 -808141a8 -986c0160 -a0b84248 -7e548312 -10218491 -3d972c45 -c1932010 -a6e92c62 -11054580 -ccddc252 -04101905 -5f74600c -40850000 -71c97115 -62006804 -470be960 -504c210a -0013c801 -cc2100a4 -92c0be14 -10120c20 -3691023b -8105b601 -dbd57f24 -00040860 -09a188c0 -25638101 -1c7f5e89 -a2200000 -09a30c00 -ad490100 -6181e038 -06000842 -7a0329fc -88a02441 -93a1ea86 -11492102 -8d8bacf8 -115008e0 -83d36992 -c4280830 -644b8ad2 -e0400b00 -f30da6dc -f0412124 -20c3ba4b -d1800442 -70f7b95d -181c0001 -994f8d46 -ee22014b -d56cc52c -ba700000 -7ada1757 -9803042b -6aca68e3 -ae02444c -c1e37ade -74802406 -4994cd7b -a7000181 -ac040093 -d3608040 -38f5036d -d2502922 -22970dfe -95fec06b -8decae92 -3a131ea2 -e744d716 -66108600 -d9afc609 -25164823 -eb46e386 -c2f9e988 -f68c1763 -d7f4e402 -28673630 -58d67299 -6a5b2da8 -7375e54d -8ca3f894 -b277bae0 -76b2154b -f4ab5511 -6ac4ff4f -c2b7f909 -07d62d60 -b267b400 -56913d4c -533580e0 -bcd88611 -a5195dd5 -9e4f11f6 -4123da19 -00e1a410 -ac1714bc -ec856888 -307b497c -d6fb3210 -fc33f0d8 -cb3d257f -5ae10604 -5ba2c7dd -2af42d39 -92eeeb1c -d96429c9 -b4cc02c7 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmul-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmul-01.reference_output deleted file mode 100644 index af9c380f1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmul-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -55555555 -55555555 -00140010 -11445401 -00000000 -00000000 -00000000 -40000000 -00000000 -60000000 -00000000 -50000000 -00000000 -08000000 -00000000 -b8000000 -00000000 -70000000 -00000000 -70000000 -00000000 -a5000000 -00000000 -6e000000 -00000000 -0a600000 -00000000 -11b00000 -00000000 -6eb00000 -00000000 -e5800000 -00000000 -21f40000 -00000000 -18650000 -00000000 -00000000 -00000000 -8e378000 -00000000 -32d2c000 -00000000 -4b881000 -00000000 -b0cc1800 -00000000 -a26aa400 -00000000 -00000000 -00000000 -00000000 -00000000 -64a87000 -00000000 -fa87e340 -00000000 -84895de0 -00000000 -b2861c90 -00000000 -5cd5df48 -00000000 -ae642da8 -00000000 -fbe4b0b6 -00000000 -c4531049 -80000000 -9606dc0e -40000000 -b6e9fb41 -e0000000 -5cc26cfb -b0000000 -657fab39 -70000000 -9ded44d9 -4c000000 -634a7a2f -70000000 -073bcd65 -9a000000 -59197415 -23800000 -d2592171 -ca400000 -a5770740 -be000000 -3b6762c7 -06800000 -a1d7b639 -66d80000 -8ff0960c -5dd80000 -09963c2c -5aa00000 -6e70dc73 -3c3b0000 -c2c594fa -89340000 -7487cc33 -b8118000 -8a488186 -b9862000 -efed1c42 -7275b000 -7e32f486 -8b3e9800 -8ab10903 -65930c00 -fa055df8 -b3beb600 -86d05367 -533a3200 -47b0061c -f4883f80 -781f63af -0bdb0d80 -ea4f2c9e -3d05fe60 -7dca914a -85d96740 -35e46cd2 -2a67e868 -04db84d1 -869d199c -7f6fbf64 -1fe2d880 -a59f0921 -b2371ce6 -a69f7ea9 -00000000 -00000000 -00000000 -80000000 -00000000 -40000000 -00000000 -e0000000 -00000000 -10000000 -00000000 -f8000000 -00000000 -1c000000 -00000000 -16000000 -00000000 -0e000000 -00000000 -ac800000 -00000000 -92400000 -00000000 -3c200000 -00000000 -61f00000 -00000000 -c6b00000 -00000000 -ba840000 -00000000 -f56a0000 -00000000 -92f30000 -00000000 -a4168000 -00000000 -d6cfc000 -00000000 -e4556000 -00000000 -105e2000 -00000000 -ac638800 -00000000 -c4b0c800 -00000000 -354c7000 -00000000 -1a3ff200 -00000000 -ef3a9200 -00000000 -404fc0c0 -00000000 -93af7000 -00000000 -df17c980 -00000000 -f41e8aa8 -00000000 -e7246e78 -00000000 -8ab26590 -00000000 -c51fb763 -00000000 -91bb9148 -c0000000 -206d1ff3 -40000000 -2f80e626 -00000000 -9ac482a9 -18000000 -c4d4defc -a0000000 -b0d8a852 -4e000000 -35d64806 -c1000000 -ff1f2d8e -1a000000 -95646529 -b9c00000 -0bf2bee6 -fb800000 -97d1ef68 -57800000 -e17e74df -2f000000 -a8e3a798 -11600000 -ad30f85d -e2c20000 -11b49bde -81200000 -a0655829 -9bfb8000 -363021b7 -138b4000 -0f5dfabd -4a9f0000 -2b200995 -94819000 -ca87d455 -8f24f000 -815f8aaf -58afec00 -847c519d -f5a02600 -6e1ed243 -b981c100 -98904715 -255ae500 -0d65cf0e -162b1f00 -37f99759 -a78e1ba0 -4695d66c -3befacc0 -3e285d71 -edd06718 -37c1233d -5de77334 -15914ee0 -32cc1786 -9038cff9 -339de029 -fa1d3b53 -35b0944e -a6b9c176 -a632f9cc -b46e2d72 -5aeff00b -8f76ee54 -b0e340a8 -df686e43 -44aa9cfa -ff876f35 -3ca82b6d -9fc4709c -9e3810ab -78aca5a6 -02a4b1e3 -940220d3 -05c233ce -9d052803 -65623ebe -c8668e4e -7f10d14c -f5f0a299 -a37a6480 -7f5934b7 -7d770f96 -2a5c6bac -18f46ccd -ee5413d5 -07ff568f -45c81364 -42fc60b0 -fb7a4062 -72f09655 -b2e7bcff -a174b8fe -76a97ebb -f365b7d3 -22c07e4f -61ecc46c -a3d18209 -3da714fe -43cc6f70 -3483a29b -c2afe2cd -24df6ff0 -3817790d -056faf40 -34afe9b8 -9fb01b3b -109c21ca -5aa02cce -6cd65562 -25069617 -6eaa25db -94f0e89c -69a0dcce -c63c479b -27d9fa3d -8ecc2a38 -d82e1fd4 -f738fe46 -0fd66e41 -ba1a2c3d -0356af81 -ad08e0d7 -6f89c9f5 -f360111f -174723bf -92eedc04 -3cfd622b -d9a4a3d2 -fc7a364a -db1b68a1 -e2564350 -6aa92434 -30191944 -e2900e61 -9581657a -0c62b481 -bd9d157a -e52662d0 -10c3915b -f0e0cc44 -a1ccb184 -a8da6556 -52534ba0 -856aa896 -8d811066 -e331a957 -3276f657 -fa88b794 -cbaf32db -6d847aba -4dc0054e -f15cbad9 -a7e22198 -3d44d15b -6b4fa6b3 -81239d07 -0477349e -4f8f2f3e -6ce79f36 -d23e2d07 -173ad2db -1ff2db6a -2a3820b8 -72e86a97 -f4dcb67a -c83e88f9 -97c7b01f -e356f26c -db859090 -948c3074 -b2c0a61e -aa0814fc -1ccbe7d0 -e825a8cc -469a3ff5 -01d383d9 -c63f6d5b -08043493 -a5df00ec -c0a3634a -574785bf -e2c96a80 -9dd45cf0 -65cab469 -9c6d922f -6fa8c691 -db65c33d -8c52ddc0 -25ee3144 -ca819e43 -6cd14533 -d9dd02ef -d9abc1c4 -a581d687 -a6626a70 -f2d90623 -e4cdc9b8 -eac4c1de -127ad386 -64199f4a -df242210 -a04ab62e -0f3d9404 -ae02f7e3 -f2db06b6 -74e46efa -2aefd23b -d75fa47d -6d84d540 -97c1d20c -ab9702c5 -86182296 -f3162d3e -553ac79e -3022ae8e -32ffd88f -e524e98d -39a48241 -0269246e -83a0c40d -4a998d49 -036e8c26 -5e6e57f1 -d19cc07f -8c8beced -a0ef464e -1565be4c -f7257386 -f3fd7b8b -9ba84d5e -dad4845b -36357c8f -45d964ce -ddf26081 -00882a48 -9c5710ac -09d197ea -9b31ec9c -01fd4814 -e7dbee63 -bf65640b -f1d63403 -86fee987 -12a04a00 -aa12b238 -7b2d0514 -47c33630 -3b541efd -7b9d78bb -3a25a3f8 -9bbc208a -777b0ce3 -dd668848 -d35a7135 -e5017777 -3745396e -107f2131 -728eea24 -1c7f9118 -727d5185 -7d79d52a -b1aa1a2a -c0756719 -6db2ca7c -2acda560 -f8e71258 -fb2f9deb -d7c66a69 -be55ad5f -3a818923 -ba24f726 -f29790e1 -1a42b494 -790d96fb -d5333031 -176a1f2e -1caea2e3 -8f5c19b9 -9ee647dd -72c98330 -fd6fb0ac -6c11b3ad -0c59d75c -6d24c33b -35ce5361 -448b9016 -303c3697 -5a4d61c6 -66e46aae -d26f54eb -a7b99c7a -917786ce -17daff74 -143dc0f0 -3a691380 -8900ad44 -c792f8d6 -36eef777 -f937060a -e4ed4a7f -15f213a0 -6a8d5ce0 -eb921d65 -24a84452 -c16c49f4 -a07c0750 -bf6d74ec -c11aae08 -317b9b44 -016f4d39 -35ee8a46 -39259ff0 -fd64355b -96a7a87b -6552bfab -e579758b -6259f404 -2c9e774c -762cb312 -df16d689 -87a1ae5c -cb50fa20 -8ab58ed0 -21fc9992 -51a990f2 -e98589c6 -38b039ba -fc6613be -f2bb7d5e -97796170 -3a5d16bb -e16743d4 -0bd83f85 -00931cd0 -bfc6dfcf -e600c2c8 -873a55ef -adb39106 -4523b7f9 -61e33ac8 -55643299 -62cc7d30 -53b294fa -5b46e0b4 -aa99bc22 -769bda00 -30e6b210 -4d1af6d7 -f5966a5f -093f62e8 -dd5c2139 -eb66e3d2 -afb1f2ef -2bc98126 -797c6364 -e638fe7c -ae428050 -dfe70942 -b9f8e06f -0e4e8052 -9e7f0648 -e4cf7360 -d05d40f2 -dcf13cb7 -ea9bb0d5 -2084f102 -4abf08fe -dc528de4 -c7a2e366 -8da55f60 -ceab3309 -4bbb43fa -d5b9e824 -154b9618 -d8dfa50c -ef062027 -7ecabf07 -74a8d338 -85398ffc -40f463ce -f323e0c7 -1ab9e04c -d8ecd870 -e27048aa -1b67ac30 -5018300e -7cabade0 -b9b2c5e8 -8b412d5b -10d6872c -bfa89d9b -0c1b68a4 -570ec409 -61b02eb4 -cf3666ae -8fc0ee4c -d7852f3a -f332e9d0 -31af809d -5c590e05 -7b2ba71b -40bf518e -9466604f -38a4f38e -d8a39c75 -3483ddc1 -ab1e3893 -0ffc9834 -cf785957 -2e4555db -69f10af3 -09c80860 -5188bc88 -6b7a0f0b -3f8c695b -b7e56056 -363519f6 -051c0ac4 -824028b9 -a70a8310 -6e4375cf -6f9f7c70 -cfd99fff -9add0bea -2d3e57ac -4bf922d0 -27d1e654 -7227993e -bfff8e24 -108a3222 -478cf57d -e69cfb04 -61b482ed -dae45842 -aef1c73a -42f7d7ba -91d87f25 -c38eaa50 -6a061537 -8447d7ec -761278ae -7caf6b9b -cc54a431 -67ba7848 -746fe5b9 -00000000 -00000000 -a67c4b3a -ec6f4980 -d7e842a0 -e4112a19 -8c6984ce -e7d3f988 -72e792d2 -93093b69 -4f4caade -dfb5c4df -4cefa028 -8beff7fb -9591834e -10bcbb96 -0222ae06 -8219a90b -21d7fedc -0382e45b -fe39f194 -6525beca -20188b19 -260e0762 -588b99f4 -54c4f99e -776c377e -3595adec -62e61da6 -9248384e -cf6e4573 -23c6bc01 -4ac82081 -b32ba0e4 -9730f59a -a97461c7 -6c2151d8 -c4a99ee1 -9d06b2b4 -9b217c1c -6de7db10 -a67f3999 -e391eadc -7f0937ce -dcdb17b2 -d6e9bf6f -2c4526ba -a7a30b18 -ca6980c0 -bde620d8 -bc4c1080 -c8831d80 -7245ec8a -e2245241 -732358ad -fd80b8cf -c960ed00 -783d3047 -0ecf49e5 -08fb6daf -c8c2b2ac -31abb3f0 -4a4dd33b -06dd50b9 -f0f3afda -33ce8011 -d88cbc04 -f9f844f9 -33799e5c -522ddb34 -119bf956 -941cc71f -76326958 -e0b6540e -e6a829f0 -97dd1459 -e88a6328 -e60f913a -74946c78 -20cd753b -8d3bc0d8 -1846be0d -0c8ade28 -747cfc03 -a5937b50 -55bd714c -abea0db8 -65fe980e -4fa68a51 -79c68e09 -3512f90a -469b514b -f8044a55 -d1dd77ac -d648ff55 -44b229b9 -0bd7c2bf -a6f2d0d8 -72185248 -90a7a8bb -4e7ca02e -95e6088a -29e27e64 -640abfa5 -7565cad6 -ff4860fa -84227578 -06a40b2e -c5798699 -de6a7058 -78a892ee -68c3af7b -ab3a070a -4c80af22 -e672e8c6 -dd5e0763 -d6582456 -05d6f41e -74efd280 -ee14663e -c9d2249c -961e16cf -89c417b0 -aed40cb6 -d0e89d7a -731123ab -960110a6 -5f52284b -965eda32 -309d6b79 -00000000 -00000000 -7bc46c00 -4606ad7b -b3f7b3e0 -426c92b2 -59dc9665 -e221333f -39e3991e -0518060e -e14fd738 -823d093b -699807af -fa40347d -558df750 -e48ec8a9 -e94aa13c -bd9d4d28 -ac131688 -097c5015 -c6b6e6ca -907f164c -8eb8db88 -ff0b511f -f9b3bf87 -ebcb3077 -566a4ca0 -4d91b84e -b5aed539 -3c115c31 -d1eb0826 -6233bd12 -ebb796a6 -5303deb3 -7192ad8a -40e2c7d6 -0e1667e0 -05ec3141 -0f353030 -a6bc227e -cb2f8c21 -c0ab9dd6 -5e7d5248 -01750d02 -4d4af26d -eade259d -490fd19a -989d0f39 -2dc1bb8e -f6d19537 -308726e0 -f6d1ea80 -4f9ef570 -919a41f3 -9f9095c4 -5a701723 -525fee60 -32dfc1a9 -dfdcf3d0 -5ef64932 -a88a7be5 -9fe3cb7b -f43e6d68 -c6545f52 -97cfff16 -409421aa -c9132173 -d4294f2f -59118ff5 -b7aa4e6c -54c235f9 -7aabf617 -42281670 -252122f3 -9f2c326c -8b50d353 -cc359d88 -28f01fbe -b8e734e7 -14a229cb -ed304f6a -15e2e9ee -d9358fc0 -702da7b9 -5be412a7 -af73fbb9 -fc1e1fa8 -c940eba8 -1e891938 -8b8737ae -29747024 -c3d3ce41 -c3a838b3 -2bda5460 -7a106c1c -53534d4d -c2ab3730 -bfc52c42 -c372d4a5 -4e72dae4 -cb8e53fd -44590406 -139c7bce -152bb431 -8c710818 -912e2d0b -6739d756 -0f403c2c -c3193f64 -a216a4d1 -e47757d8 -a5800941 -9dc97721 -ae090613 -e7c81bab -a6c3db6e -7ea861a6 -9290db20 -70bfc7ae -a789794d -92e709ae -23356d1e -65c19a3c -30d0ef2f -268db34a -530b3fd9 -236b2a1c -c9ce53bb -8c1e05d6 -698b7890 -b40d65da -a522d0fd -fd59cb93 -c4d61714 -541c16bb -4f26399d -e0d45768 -44ced599 -4887ebcb -b29fc4cc -edd81f4d -43a85742 -ab4d23d0 -2956d23a -78c62500 -c5ebbf41 -c2486f50 -2e98add9 -cbe2c612 -36c7d0c4 -46da1298 -192959af -c05a71d2 -b1f11cea -22eaa196 -c8fa166b -79b37881 -0ee2dd4a -c24038bc -a9f3eb59 -3ebf6fe8 -b58fea9b -4199c768 -45219c08 -af325a82 -b3c60b96 -bf40b590 -d9cd69a7 -e390803b -4735ee7f -5441bfca -fc15396d -a52934d6 -155934e6 -d520c700 -ce8980e2 -74c2ab97 -589abfa0 -194a0120 -8a33e40a -64c2bce5 -8d4adfba -a8da128e -fb8ce5a0 -d02b10b1 -45eff8ef -f4d521fe -b1c3ec48 -ea588290 -e55aafb7 -06ac9015 -f661f951 -43f2ee38 -518f46cd -024b2e5a -cef781ad -a403c9d8 -f249e607 -a6cf8f58 -bcf6daea -23f1ccf0 -b74bd202 -3be97095 -0db84cab -cfc82d4d -0716bc60 -c7e7c15f -9fc72e9c -b79c4de2 -4714223c -c1bb41fc -e4823e48 -b97b9880 -61a2afec -a33dbdcc -d7d30e6f -cfda61f8 -2c6b3678 -6ce85d10 -f2fd3336 -fd169d3c -4902ad4a -d255adf4 -f8c0cc5b -2f7d2822 -5a48f60b -35785880 -e85c7b0a -cb573f90 -a721acc4 -affc2e65 -8c83dc9e -a113857c -a0feb70f -b607989f -4a5bf799 -3b71823e -e26fc077 -f794f6e2 -0f9d0dfd -2abb356e -c7396006 -2e9c7b9c -8fb62ce4 -72781602 -d0749bb6 -ce66e256 -3a93d9d2 -35356ebb -8ecc4655 -88c55f60 -dc5aeb4c -54a5cb08 -ee76f65f -6ec48b3a -568ca6e4 -3a9e9b04 -3b59cb4b -8af8db02 -31f8894a -0144051d -13be4980 -00000000 -00000000 -00000000 -c0378000 -00000000 -e99fc400 -00000000 -56dd4900 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmulh-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmulh-01.reference_output deleted file mode 100644 index b6406409f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/clmulh-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -55555555 -55555555 -50155151 -11454404 -00000000 -00000000 -0abd0b4e -34bbbac7 -00000000 -00000000 -e4b72b0e -17f1c6bd -7c15f1f5 -1dc97a7f -d2fce903 -08b15795 -5a3a1f60 -59c20444 -26c7f7cb -2a5ad4e7 -ba589df7 -77041274 -a08e3262 -08f609e8 -72d322ea -00c4a4dc -2765a4a0 -2e727023 -ddcaf866 -63b82984 -b12d98f8 -25f55032 -2ffc50e2 -44c74792 -3d15e669 -0abee91e -832c5b58 -0a34e73f -3a1881fa -0f0a8245 -a55a33ec -6b0810d4 -408ee4ac -72632882 -ce0dc968 -155da612 -26569b1a -3fb35b2c -74f9420b -390f6080 -733a516f -1e677604 -cfb84cf9 -39e93609 -0f208e4d -7e29f06a -7a3136ac -2b9ab258 -5acbeeb6 -14dd1e2e -00000000 -00000000 -409291ee -22f36372 -1d047a50 -152a6924 -3d3a7d4a -28a11e96 -43df77e1 -24daabe0 -884f2ef5 -2201d7b8 -ec9024d4 -1899eaac -e4f90f31 -0b2bb6e7 -a0baf0ee -080db2e2 -325b230c -495ab010 -8940323c -39520e68 -e9f57d7c -47fcb507 -22449fbf -1ea011f4 -5bae8b5a -567ed413 -3a440486 -1edda219 -29b78af3 -327d671f -7fb19e9b -1a60227c -5d212d77 -77079671 -6bc11d37 -020fc2ae -39aeeb60 -15a898d0 -7d2c3d1a -242f4e1b -1e6377f1 -76b5f3f8 -d313dcc0 -09fcf1f8 -fa24cd75 -5d324b47 -0be5a6d7 -021d4ec8 -a66049f0 -2f67a8fa -6d36b201 -6eca19d1 -05e227eb -3053de18 -5061b7ae -09462c23 -3513e68e -7c960508 -5c2cc2a0 -7733e564 -2a9bc2fa -31c98464 -1e6a1d38 -7dc0a469 -4ebb8d9f -3c49282c -c726cc8b -06eddac4 -90e2a7bc -08968454 -00000000 -00000000 -a5f694ba -76a7676b -cc81ad1c -0a72d4ab -e9de876d -015cbbc2 -80b5b953 -7d29d8f5 -5fcc3a24 -3e8c4466 -d224d4ee -329c09f6 -caf9c459 -1ab60d97 -5c539d16 -0f64d7d8 -a44b19d6 -1a5817cb -312e03de -13c74cc6 -ffb3e94e -292d4202 -746eec4c -08b59778 -72cc0c6b -010bc68f -b8a92813 -1d8364e1 -72c436ad -03d2ad5f -9a2e9758 -723e96b0 -a55b8ea0 -25708c83 -d10bde06 -2de636ae -e3d7711a -0e2647dd -c8c27817 -069fc83f -5703a02b -7f4d327a -1de7e662 -3e5e1177 -1471d46f -00cf1626 -3a3a7487 -38cb8a8c -a10a871d -090ab2b0 -eb5aa863 -6c90d7da -4d8d664b -283e1c7c -95205070 -3b6a557b -79c91270 -18d410d2 -80a68d4b -4e7c0755 -aad8ef19 -1ba642cb -277b6222 -2782f2f6 -2f29e391 -06a450f2 -dc6c525b -13392592 -869e3013 -3a3dc768 -efecc863 -79b44eea -01d19341 -054ab944 -c8b309d6 -102b1f06 -fdbbc0ea -00ed81bf -24aa034c -15644322 -7e752a4b -0d29be02 -e9f87354 -7dd2df1c -a9b09378 -36510a20 -c9c7abdd -3d0c8d50 -6dfd4111 -18d5d38f -1fe54a3b -082f712b -9290a1a2 -756009e4 -d70956c0 -2a6d4fe4 -e1f046db -00c8aa66 -a1dfc1b2 -029b5aeb -1032ed38 -0552130e -c21f58c3 -00d695a2 -81f1c062 -2bd77034 -546d3864 -7c0a2cb0 -254c224b -0657e4e7 -71716e96 -57c3bc67 -57592cc1 -00a20dbc -fe786528 -30b3884c -480261a4 -7c75291a -d0cd654c -04cd2c71 -b24b8ee1 -3d6daa05 -4647db11 -146fda6f -f919f83f -2930f6c6 -98d3764c -09aade5d -184ee5ca -08491652 -5b3563d7 -47c4056d -d6b2d484 -032ed77c -0ea82e25 -7eb44972 -f45a56d4 -0111b38f -f83e2345 -312bf7ea -cbf795f4 -0d67293d -c19c93a8 -75cd5b3a -811e2504 -505950f1 -25a5608f -0027ab04 -418af5e5 -1791c717 -48db82be -36f2ea8e -5691df56 -315b8f5e -f6869c5f -2c01f541 -44b60344 -1292a569 -5f988d67 -00c49511 -110da20a -0f18d5d2 -b9d1a2cb -40c66226 -6c84a322 -313fda9c -0ab9a8e2 -0b487e18 -0b73bda4 -28367364 -e9050ff1 -19f068e4 -539f627c -070256dd -4c84bddb -0156921e -541c7d04 -0026a7ca -2d62e660 -01688058 -7d77ca7e -47e48a42 -ab368fc1 -09a4e255 -4abc94f2 -19dfd027 -907ca0c5 -74e4fc08 -5bdca3b4 -044a6dd6 -94cca9d3 -0a2adde7 -2c4535f8 -08e07fe2 -4ee376f0 -11592bd6 -7f134fff -1fb6c73d -4c79b3a0 -16dd1962 -9087ae4c -3504ccd2 -e21f9c15 -1511eab6 -5faffe5a -0e61651b -d9f59fa7 -2ec749d8 -20f608fb -01728d3b -34f0af73 -0ef10c9f -68b5225a -6cc10550 -1f8d2413 -119a91d2 -4315b4d6 -006f1f7b -45b52912 -064eb155 -3b50e1c9 -1187c7ce -08a2740a -0874e586 -962371f3 -39ff3b8f -e6337c65 -32a13e34 -9914d14c -41feac06 -3869537a -08a7b868 -5938c153 -165f6b8b -a68dd4be -054512f3 -a9ebb475 -2105f038 -a3d6563f -57b1775b -78da7320 -01a7499c -7e7a8adb -3d99c47e -458c545c -6ae6d8f7 -a6cb21d4 -4aaedf63 -cc866d93 -1e6d7094 -d8e6be86 -1e0cb7d7 -54e07a9f -4bb49a4b -b2e55a34 -0e36c917 -9057396e -249a3cc2 -ef24089d -002fca7d -c53f7630 -077b0320 -27b2bff9 -741d668e -76f96831 -12cef040 -0db2e869 -0ca41ec6 -1096654c -49135173 -435260d6 -158168e2 -3d9ec1bd -27024bcb -8dd3a82b -317a1fb0 -bdf3cdc6 -15acd39e -19342f99 -09241918 -0c9efd4b -542f6002 -b826a0dc -2035d155 -e3128229 -012cff73 -ccc8feda -00273856 -6f049ae5 -2399ae0e -d2137052 -0947b9fd -5abe9bdf -06f934aa -3a7394c3 -56f910b3 -26ad46c8 -358711bf -67784ff4 -1bb08f65 -5f315f0d -008454e7 -b6ec88f3 -679a6377 -5619ed22 -3e4043f0 -40d87f25 -14756832 -53b5d80f -5e73b25d -6b71330e -047405fc -d3987fa3 -03295046 -453e13f3 -0bac508b -39096a72 -07f4b7cf -a783432c -2188ca05 -3c444e95 -14d15949 -8615aacc -060e83e6 -8a4e6974 -070b2383 -8be23523 -3a5b52ea -adc34ef6 -0e77fc2b -b0cb45a4 -099f6389 -10a38c93 -18b1ca16 -b5e90cfa -1fdb8c06 -950925ba -00f5b9b0 -5fe4da62 -772fbab6 -f98087af -0af9e28a -dc7e9491 -39416d21 -6e771082 -4c40659e -1cb65615 -07d29d11 -ecda5f9b -2628fe55 -998b9efe -03a9e47c -87ac9b94 -75c68928 -87960812 -70d83d82 -80166619 -0a4bda8d -e9d56663 -29aa7c82 -19c02e4a -16d31515 -ae053c4b -0e0200c4 -d7b9184b -12adfe4b -a030c03f -112a4004 -4fb504c8 -2130e3ae -61fb67ab -2fa43874 -ee3f0017 -2404ff78 -87620dd8 -1b0a3eea -a1326378 -19a07f4c -1b8bab5c -1e99d3f2 -411977d0 -4a28f99f -9401c80b -0370dd5f -34ac2bc2 -0d56a02a -e579758b -6259f404 -a371233b -782e5a32 -80ed13e8 -23608a75 -53b71110 -10a2a023 -73de4494 -084f26f9 -8e9c377f -038853d9 -8d08f4e9 -03f3fbe0 -b13dcc76 -009d9887 -0f78515d -00347c0a -a7497756 -00521cc1 -d4fcf0c2 -001f148b -aeb3c9b0 -0013c24f -ef11aab3 -00003493 -1193903f -00077c5f -eeddf5ea -00020bb0 -040d2f6c -00009b92 -eea81987 -00006c8a -3742e943 -00003784 -94fa7ba6 -00003421 -3b2d314a -0000183d -6fe5c6e0 -00000111 -cbc4f917 -0000073d -fb524b85 -0000006a -3e2adfd6 -000001a6 -c568fcdc -000000cf -f1d4d906 -00000024 -8a8784ff -00000036 -2269d8fe -0000001c -351d6fb2 -00000002 -8f4f3b88 -00000007 -99eec50b -00000001 -dadfbdff -00000001 -b49d9a87 -00000000 -6d15fc63 -00000000 -1338d557 -00000000 -02e3eec8 -00000000 -006759a7 -00000000 -053c2570 -00000000 -03462261 -00000000 -00f1910e -00000000 -007e085e -00000000 -00369d2c -00000000 -000f16b6 -00000000 -00149b8b -00000000 -000dc218 -00000000 -00016710 -00000000 -0003f35a -00000000 -0000350a -00000000 -00009cca -00000000 -000006ae -00000000 -00003408 -00000000 -000017b6 -00000000 -000009cd -00000000 -0000062c -00000000 -000000df -00000000 -000000ab -00000000 -00000028 -00000000 -0000006b -00000000 -0000000a -00000000 -00000001 -00000000 -00000007 -00000000 -00000007 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -a1e7804f -4d9f09d5 -87765aee -1ecfae69 -e2d34b46 -1f2cca46 -3bd04ec4 -04245705 -645673ab -07f0d8dd -e3d8f9cf -02f6c706 -01984edb -009acb79 -af384d90 -00dd5038 -5c24bb7b -00462323 -2b291197 -0013f33d -52f66a8e -000a01b8 -53708fc6 -00004a98 -583e21be -0000260a -e0157e51 -0003080f -0fcddb2e -0001792c -1316b2a7 -00008725 -3d142fb8 -00007113 -8412d62b -00003c33 -aef57025 -00001aa0 -afe62720 -00000b0c -ee319fd6 -000000d6 -84e0322d -00000137 -10adae2b -0000010a -c5e2fd26 -000000f7 -d0bba8d8 -00000064 -70ddbc13 -00000005 -21fa9e21 -00000001 -34a377bb -00000005 -15362e68 -00000005 -501b0451 -00000003 -27efc239 -00000000 -a8b7f959 -00000000 -3a3829b8 -00000000 -340cfe3a -00000000 -01393578 -00000000 -096d9f41 -00000000 -046ca361 -00000000 -036620f3 -00000000 -002c32a3 -00000000 -00926cf1 -00000000 -001677c7 -00000000 -003e23ec -00000000 -0000e4f3 -00000000 -000581ce -00000000 -00013e28 -00000000 -00002cad -00000000 -00005b09 -00000000 -0000d0c5 -00000000 -00002609 -00000000 -0000187b -00000000 -00000fe4 -00000000 -000002ae -00000000 -000002c3 -00000000 -000002a2 -00000000 -000001fa -00000000 -000000e4 -00000000 -00000008 -00000000 -00000033 -00000000 -0000000f -00000000 -0000000d -00000000 -00000007 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11aefb57 -04b1ca7c -e7adbb22 -68a7cac5 -ef6d6e58 -2349907e -af504b52 -5f99a504 -62210210 -23bd4c29 -21067e88 -68b2e78f -ef42d169 -2460d138 -fa0a2eef -5a425a8a -bc85c0cd -5a5b7247 -6bedc75d -071306a0 -1730bb67 -4737a911 -da7e0791 -04232eea -53fd6782 -642e8d5b -258c33e5 -30ebed00 -132dd1af -20e5cb1c -bc435f4c -12110ab4 -2842dd9b -198ba1f1 -3cd4643d -1d75aff7 -6045e475 -1b4df8cf -ba630ba8 -02f47ff0 -4386ef8b -2d939dde -0021738e -0b7344d6 -bb80bf46 -26eb7483 -af369d4c -78f6810f -a0b6549e -6d861d72 -fff6ef5e -458052b7 -b39cfc63 -78d48aad -e9ffbb9f -2caee6c8 -9784108d -1e883156 -353f149f -07b271f9 -aba9642e -73fe8510 -1ef02d8b -67211a14 -2f46f7fb -0f3f6dd5 -8d04bcec -566728dc -ee903e64 -4d9b830a -41c52713 -02aca30b -885f8df6 -77d369b0 -4e904fde -1177debc -aa9b19e9 -477862bb -0457ce7f -36bf4122 -fd91f99f -0ee62f2d -12a1de80 -38849785 -9ee46db8 -410e940a -21add357 -6f7ec022 -fee7913c -663dd695 -d7618caf -768999b0 -b72e056b -5c122bb9 -f5c5deda -141bfa71 -a14c0c06 -6e23b03c -6c4799ca -269a59ed -33f82154 -5faffea7 -40776125 -5276f9a2 -d4b4bae5 -189b5fca -db414412 -0ea248fe -489b5359 -0651a58d -04c31466 -19cb4060 -b918ea21 -5d9c4cb9 -da4e202d -63e19add -7ec2b4a1 -2cc8df67 -abdbef78 -766644de -287da15c -740ad3f5 -a0811993 -370198b6 -37253578 -0d8c6b11 -b9f0fd14 -4b3a43b7 -4bf29a25 -5add2f02 -09a56e3b -015a0cbc -cbcbe32d -70d5e6d7 -0562bc13 -60702e91 -3b7e6c66 -24de4f2a -630ed71e -5362bc18 -7d2c1891 -522cc1fb -73dc8ae5 -048d240d -140c3d1a -14b2dea9 -6886aa80 -7466a016 -2286514a -626a1500 -552c8765 -4dff7d75 -419d1be3 -55f5eeb6 -2228835c -49d63d5a -456aab51 -68a87d04 -13057684 -228ffa54 -14cc9279 -7f668870 -d63d9db7 -41d85c9d -d6653d33 -370da227 -6ea33759 -111e17aa -e2271cd8 -607972d1 -d40eaee2 -7a127efa -5bfa355d -6853f1eb -5a0f3ab4 -555bedc2 -c38b7ee9 -24eac001 -636f28f4 -4725ab15 -d3b8cf2b -1c19807c -f2012fc2 -5235d2fa -3774ca34 -5e8060de -be933aab -52345154 -6e973239 -75d5f543 -819fd908 -7cabb201 -5ae2a328 -2435f328 -9e63a2fd -2e2c3102 -a83da2a6 -2be47224 -1ec0054d -7693d31b -0f03841c -0451c8e1 -0340f7d9 -4aebc36c -1bc0f846 -7af83d9e -5c1f54f6 -226fd197 -0fc681fe -198ee9b1 -c338c553 -7ce35867 -22a7f546 -731372f4 -cf46a599 -15f47ce0 -86da0cc3 -6dd91183 -c855965b -3156aadb -1b89c427 -42f9ab8e -e49bb0e7 -4b27ae00 -d9ff6fdf -2e0ecf24 -bca42f92 -08691858 -88a50609 -1dcfe8ad -b658808e -0c68f428 -eb020c95 -072ea78b -e7f9082a -4d77b8ba -62e7790c -7363b1cd -8479f74e -2ecbfd26 -3b783c7e -78c4a66c -06cc6664 -7316ff90 -14c7fd93 -57e74e75 -c488394b -6725be6e -64462afc -3ee2d75a -365d9e1b -20905a07 -6a4be35e -550a36a5 -cea7a6c1 -0ed672d2 -457c6d81 -18fc44a5 -0144051d -13be4980 -00000000 -00000000 -e1c338db -37830eb6 -76215e30 -2d236b88 -38478359 -01c75bc1 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/orn-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/orn-01.reference_output deleted file mode 100644 index 107396092..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/orn-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -7fffffff -ffffffff -ffffffff -ffffffff -5fffffff -ffffffff -dfffffff -ffffffff -cfffffff -ffffffff -b7ffffff -ffffffff -fdffffff -ffffffff -ffffffff -ffffffff -bf7fffff -ffffffff -ffbfffff -ffffffff -df7fffff -ffffffff -a3bfffff -00000000 -00000000 -ffffffff -76ffffff -ffffffff -fdffffff -ffffffff -eef2ffff -ffffffff -f5fe7fff -ffffffff -c2ffbfff -ffffffff -f9bfdfff -ffffffff -de239fff -ffffffff -eddec7ff -ffffffff -fedff7ff -ffffffff -0c84b1ff -ffffffff -347eb6ff -ffffffff -5fdef9ff -ffffffff -85bbddff -ffffffff -df375fdf -ffffffff -72ffdfff -ffffffff -fedeefff -ffffffff -bbfbf76b -ffffffff -3afd5f7f -ffffffff -70ff6e3c -7fffffff -75dff2ff -3fffffff -af7ff5ef -ffffffff -78afdb73 -afffffff -ef3aefdb -7fffffff -fffb67f5 -fbffffff -e6efe5ff -e5ffffff -4bff9be3 -66ffffff -efffb7d3 -fd7fffff -f7bf3eef -ffffffff -fbabcfeb -ffdfffff -c6f774ff -e7efffff -7e707d9e -578fffff -dfebfedb -aeffffff -fffbfbff -7f9fffff -dffafdbd -fdbcffff -ffb2fcd9 -d7f77fff -bb73bf34 -d750bfff -d377fdf9 -7fbbdfff -3fdbffdb -abfebfff -ebeedf7e -fefeb7ff -fcbbfffd -dfbabbff -def7ddff -4f3ffbff -bfff9e23 -6effc5ff -f7befffe -7f70cbff -1bbfecdf -d6dff3bf -efb7eaef -f67fbf1f -9bb7ffee -bfff63ff -fbd7fff7 -ef7ffe87 -defbeee7 -cf1f3feb -7ff17dfa -cdd7f0e1 -f7ea7bdb -d9e77efe -b3739fce -16d59ecf -e5cdc9f6 -b412d68a -92b13128 -cdf94b8e -d634ad50 -b10bc490 -f51a21e8 -2dc93212 -d8da0a6e -cb7f3f52 -7a0c7e29 -bc9f0136 -fd001a72 -9f1fee14 -d66b6d36 -add517dd -ff0fec45 -66b5aaa0 -f79a6dba -a29d6ac0 -f6e0e4a5 -32f87774 -76e8bab5 -b15b8772 -d6f38a49 -448aea95 -ffbd4188 -8f619f0e -7777b648 -c0081b72 -8b32327f -18e98ec0 -cd5db3b4 -4fc00b76 -fd4aa974 -a3c2a918 -aeae51d6 -e7332808 -1f73e025 -a8a2da71 -9f0cfa23 -831e0b4c -e8fbfd44 -9060cb4d -fbefbe72 -b07cd137 -4df7fe83 -dbb26df9 -7ebf7731 -a4ecfb8b -afacffd3 -9b18869e -ef5a7ad5 -cfa1c07f -babdfb29 -9edaf777 -7d34ee33 -f817409a -33cfffef -9d6e0f1d -efe9c97c -a70bacd7 -2d5fe93b -f5f6afb6 -ffbf8a7b -ccbb344f -91b7ee5f -dd12768e -fbfe7f7f -ff0e0971 -6eefbf0f -f708e34f -ffe7afcb -b877f4ee -f67db57d -eedcffa7 -fffdfdfc -7f06fdaa -7ffff6b7 -ff141aa8 -f9ff9f6b -fbc9fd83 -17bfbff7 -fdca6f08 -ffeff6bc -f2f931e3 -e9fd7ffb -ff7a98b7 -4fffe7de -aecc431f -fd6feedd -96ffcd17 -d5baf7ef -dfef7c10 -a5ffffe7 -db7fcd9f -b3fefedb -fff998c2 -fef5c9fb -fbf7538e -dfaedf57 -fbf2b2e7 -fcdf5e57 -defb7958 -fbeb9f5f -e3dbe929 -bf7dedfb -aec7af4e -dffcbbc7 -de1efbd8 -bdbbbff3 -eeff8fa2 -f8dcbdf7 -9f8f93f9 -75777fdd -d3bdff43 -577f7ee7 -7ec533fa -fff727fc -fef1cffb -fcecd75c -f9fffbdc -5c52efda -daddffbe -3ddfe56b -a59f7fee -fe7d56ff -ff7d77ff -dffedb7a -8afb4ebf -b7bfbffc -cf75bfbe -dedffa1a -dfb9dff5 -47c73a55 -7f3aff78 -b3ef2ea7 -eb2afbfe -136ff5c7 -97fff5f7 -a2fafea6 -766ed67d -1e7efcff -dff5c7a5 -95ad6bfd -ffedef52 -dead9fdf -f6ffcfc2 -f6c3ff57 -fbfed7d4 -fcdffffe -b7daed80 -66fffdf6 -efafb0ba -77ffffab -1be9f557 -d75f6bff -0f72fb91 -fff5bc79 -71bca1d0 -dfe7ff6f -8f3dbaff -fdf66b7f -2effc902 -cb73dfbf -ff6ed875 -77f4e3f9 -7fdd4cb4 -eefb6e3d -3f993d02 -7feff5f6 -6f64e7ad -f77df3bd -b361b010 -bbea7fbd -efb0f1c0 -a6fffe3b -ebd02d4d -ffbedf7f -efe07552 -ff9ed39b -df0bba39 -ddf7dbda -fd1139a4 -3ff93fcf -fa44c8ad -7bfcc5df -f3547e48 -9bd9cf7e -d94902ca -9efdffe5 -ce2e7447 -39bd7fbf -f7192179 -e1effdad -15a03321 -7936eac6 -b733640c -77bfbfdc -6aede476 -e97bfa0f -6d2db9e3 -7f7fedf1 -bffb6c5c -6d9bbb6c -27b012a3 -7c9af3cf -14a7dd83 -dc13f78e -2f6aa770 -cdbddfec -112154cc -effaae8d -f96eaffa -fefdfce1 -8fbff9ba -fb7bf8ab -2552fbf9 -ff6ebaf8 -0f99d8bc -94fff76c -b68c8fce -f7fdcfd2 -13e5cf6b -e41fe2a9 -47cd73ed -7697ebd5 -8364a709 -6df75da3 -d0917142 -fe34a15a -76427709 -e55f776c -20176dbe -fdf861c8 -9738bfb9 -b7e5da8e -5843990b -bdc8d020 -25fb16b4 -ff8eb1b1 -bd94509c -7f41ea23 -fe183d04 -6f5c2871 -386ef954 -bfae401e -0274846b -fa41b7ed -180c5db5 -7e610134 -41e5a5de -79c88ec0 -275bbf80 -e67ce511 -ae5fdcbb -a4b6b671 -b0f94bb3 -6dae4547 -7b7ffffd -ddf8ff37 -ffded6dd -f2fffedf -bffbdffb -cd3ad6bf -4afedebf -77bbbddb -7afe77cf -ac15fd7f -efeffc5f -ff7f22d2 -b97fffbf -eb4f6bbf -ff3f5bff -7d4b77fb -fbfdeeff -779ffe57 -4efffdff -ffdfebff -ff9effff -e3ffeff9 -69bbffff -dfd69f9f -ffe7bfff -5f6bdbbf -ba9d7fff -23ff7fee -5f7fffff -fd7776f4 -f7bfffff -bfbe77f7 -9f7bffff -b35f1fee -def9ffff -febfffff -9f5fffff -f7e777fe -7affffff -6fb9fcfb -17ffffff -fadbe1b7 -e7dfffff -51c3ad7f -f4ffffff -e3bfd7f7 -f77fffff -edffdf7d -7fffffff -fddfe7b9 -ffffffff -e2defe7f -a3ffffff -9bd75aff -b7ffffff -f77ef5e3 -cfffffff -b929dbdf -ffffffff -eb5873ea -7fffffff -fdffeff3 -ffffffff -cf5b1fff -ffffffff -1fadfdf6 -ffffffff -edcb6afd -ffffffff -b717b777 -ffffffff -beeffd37 -ffffffff -efe7cf7f -ffffffff -27f86fdf -ffffffff -37ffffff -ffffffff -ffab67ff -ffffffff -fa5fffff -ffffffff -fff755ff -ffffffff -79b9afff -ffffffff -eebe27ff -ffffffff -f569ffff -ffffffff -bade5fff -ffffffff -ff1b3fff -ffffffff -fda77fff -ffffffff -dfd8ffff -ffffffff -a67fffff -ffffffff -6dfbffff -ffffffff -fe3fffff -ffffffff -b3ffffff -ffffffff -6f5fffff -ffffffff -fb7fffff -ffffffff -bf7fffff -ffffffff -bcffffff -ffffffff -6fffffff -ffffffff -7fffffff -ffffffff -ffffffff -ffffffff -2fffffff -ffffffff -dfffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fecd5def -8f7d2f3c -fbbfa7b7 -bf75e956 -fefef67f -dbedbebf -779de3ff -fb87ef74 -cc969fee -f67f57bf -ff5fae7e -ffbfeb1b -7ffbffe7 -fc85ecbc -b8ff9fff -ff5d75fa -7eb53f77 -ffffdf99 -ef2d7daa -ff9ddfeb -bb5f7e57 -ffc8fed1 -efff74ed -fffaf9f5 -f1fbd7ff -fff8fcb7 -6e7ff7ef -fff9ffbd -dad59eff -fffdee9b -7fbbf7ff -fffeff8f -ffd7ebfd -ffff57f4 -1af73feb -fffff9fe -fbf7ffda -fffff77e -ff7fe75c -ffffee97 -f7febf7e -fffff67e -7b79fdda -fffffff7 -ffc9f599 -ffffffff -ebf92e75 -fffffeef -f7f7e3de -ffffff7f -f7ecf9be -ffffffce -bfeb7f6b -fffffff2 -f4fbd3fe -ffffffff -f729ffb5 -ffffffff -bfb2e5ff -ffffffff -dcfc7ffa -fffffffd -fe6efb7d -ffffffff -9fffc9bb -ffffffff -e4f4adaf -ffffffff -ffffdfbf -ffffffff -fb2f7fff -ffffffff -ff77edf7 -ffffffff -f9fefedf -ffffffff -fcdef3ec -ffffffff -ff7ffdfc -ffffffff -ff7fddf9 -ffffffff -ffeffd5f -ffffffff -ffdec1fe -ffffffff -fffbe7f9 -ffffffff -ffff733f -ffffffff -fffaeeac -ffffffff -ffffb43d -ffffffff -fffffcff -ffffffff -ffffbf9f -ffffffff -fffffdcf -ffffffff -ffffc77b -ffffffff -fffff72f -ffffffff -fffffde9 -ffffffff -fffffbbb -ffffffff -fffffdf9 -ffffffff -fffffff6 -ffffffff -ffffffda -ffffffff -ffffffff -ffffffff -fffffffd -ffffffff -fffffff7 -ffffffff -fffffff2 -ffffffff -fffffffb -ffffffff -fffffffd -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -fc2ffffe -edef77bf -edeefdfb -edfddb4b -cf73175e -2ffee3fe -f37ddfef -9fee3cd9 -bedede4d -0d6f6a36 -3afe7fad -26fa4c5c -f55f7fed -aeffbfff -ffd0befe -15f5d975 -ef7efdf3 -5da7d6e6 -e6ae1fbf -ac5e6f96 -fd1ffb8b -a1effffd -9dedd7d7 -fa5fbeeb -fccffdfa -f85ff7bf -79e695e9 -7576ed10 -fe6bc7fd -6b46bfdb -beafffff -1ec5fe6d -fdd1f7be -6421fdf7 -f2a397ef -5675eff7 -fa51ffef -22f377ff -8bdfffef -15c27fb8 -ff75fff7 -ecbb9e1f -feffbbc6 -848c37e7 -fdfcb6ff -4c8d3afe -ff2cf6df -138fc73f -affebe3b -04fe62ab -de7efff5 -e86618df -f29dfbff -f41224f8 -ffffffff -bb161b5f -57bff77b -15c5f97e -7a7e6ebe -62bd098f -4fdfb5ff -ee64b01a -7bffff62 -674dfbef -ffa8eefc -9bbf6224 -7ffebfb4 -098db45f -be9b77fa -f3dd7d99 -9c9fdf3f -1e28cff0 -cbf8ffbf -464cc714 -6fd1ef7c -5d089b85 -9faffffd -ed35c08f -3b3f7a67 -63c134ab -dacfbff7 -c968e1e4 -5bf3e89d -6c4c5c26 -1d7d7afb -faf97b40 -e23e8bf3 -9aeae3bf -3b099b3f -de78f0f4 -fd370dff -f0aad36b -e1cbfd6f -dd8b15e7 -fc1f6f7f -09324d07 -baeffcaf -a9c16412 -9a426dbe -94888cf0 -f29afecf -87aee9f7 -ffdc5bff -c957f420 -27464bb3 -b587634c -6fd5eefb -3bd48e8f -c5349ffd -7cb39785 -eab32dd5 -4accfd03 -5669b5ba -ea3e2d20 -c42fccf9 -5c15d7b2 -38cde33f -893b9751 -cf4e82f4 -1ed4d470 -2b159a2f -78bc0149 -626a7b87 -5994f2c7 -34ff77ae -d056ebda -69a125cd -cf629486 -380217fa -88cb283e -abbdedff -fbbfdab6 -35dffff1 -fd35afed -77fef6fb -75e8fbec -33df79bd -fcc1e53f -d7df32ef -69d5daf3 -f38e7fff -bee3fe7f -f5bed778 -6fa2feee -b99af7fb -efffbf9f -f7fffbdf -eef1bfef -beb98ff6 -0977f37f -ebfcfaff -c976fdef -87dffd3f -0c7dfefd -fdfdff5f -ac7ffc3f -fbe45fef -513fff73 -fefb7fee -612faffe -ff5f6fbe -36335a75 -e3bffdbb -2a9ccb5f -7e6ffdf7 -279ee977 -e5f5ef7c -2dd63e69 -3c87eaef -071c94f8 -fbf1f7ff -76b4abff -b5fe6ddf -1d95cffa -dfbcf67b -6b3d9f7f -7fb9ffdf -891b83f7 -35ff8bf6 -b68a27fa -be5ddcaf -ce80f7f3 -daef5dbb -897d9fe5 -bf7f1f1f -75f32b51 -5f5ff95f -239853fd -afff5b7f -08d6920f -607effee -94038f33 -ff8370fe -a9632e3d -e2b7b347 -1141b67f -d47eef2f -faa97965 -3f9f5f8f -d6ac851f -d2de1d7d -07f5e51d -9da7befb -9875bad1 -d73efeaa -339863c4 -fffa4fb9 -c988a7cc -0d73fdee -5bc1c366 -06aed7f3 -132a7176 -37fce7b9 -498db88f -a331fc5f -c313bc1f -62fbfbdd -b1834066 -032cdfff -aa467bbe -79a7ff9f -9b9aaad1 -d9737f7e -e4367cca -1e4fafaf -3c2c0e92 -e3d4ffaf -b264d045 -b4c8cfdf -6baeea37 -540867f2 -e0f003e9 -c099be5d -f69b0ae6 -7dddcf7e -29ade05f -6dc3ccff -13e6d903 -d9adf7ff -0af2ee97 -0d453dff -2a5dc0a0 -cb293efd -e6a4d5ca -6ed2604e -a422af66 -8347ddfd -755961a9 -fc6c3192 -9aaacd63 -7886e3eb -9c1f741f -e1832ab6 -5902a9db -596f5f8b -1694bd33 -ca11073d -dd4ec4d8 -dc17ae6e -ef677106 -ebfff7e7 -f33b77dd -addffd9f -aff7f6f6 -5effedff -fdfffbef -fbf7dd75 -fb967e4b -7d9d5b5b -f5ecfeef -fdfdfc9f -feb3d97e -5ef7ffbf -fe7fbf7b -d84e59f7 -fe57d3e7 -9afdb576 -ffcfffe3 -ac3f7fcb -ffbe7ffb -fc7ee2ee -ffddf7ba -f7f7fff6 -ffff7bdf -ffabf773 -fffffffd -a7bf7fee -fffb3f6f -bdef77fe -ffffdde8 -b4ffd27a -ffffbfff -df7f84fb -fffffffd -f5fbe63f -ffffaf7e -7da7efc5 -ffffcf73 -779dff3d -ffffff1c -73bffa1e -fffff79f -fb756eff -fffff9e2 -fcfcfdeb -fffffe47 -fe6b13f7 -fffffff6 -9cdefffb -ffffff3f -fffffec9 -ffffffe4 -0fffbdff -ffffffcd -ffffb99d -ffffffe9 -eff5ba9f -fffffffd -bd5bf13f -ffffffff -b76fdaff -ffffffff -bbf97d7b -ffffffff -77edf313 -ffffffff -b75f5de8 -ffffffff -f7be8a3a -ffffffff -ef66abeb -ffffffff -f7f57db7 -ffffffff -ffafffdb -ffffffff -fe9fff73 -ffffffff -ffef9b6b -ffffffff -ff7fdb90 -ffffffff -ffdfaebf -ffffffff -ffff7fdd -ffffffff -ffe6afc7 -ffffffff -fff795fb -ffffffff -fffbefef -ffffffff -fffc7bbe -ffffffff -fffedc7f -ffffffff -ffffdeb7 -ffffffff -ffffe7ef -ffffffff -fffffaab -ffffffff -ffffeff9 -ffffffff -ffffff76 -ffffffff -fffffbdf -ffffffff -ffffff7f -ffffffff -fffffef4 -ffffffff -ffffffdd -ffffffff -ffffffbf -ffffffff -ffffffcd -ffffffff -fffffff2 -ffffffff -fffffff7 -ffffffff -fffffffb -ffffffff -fffffffc -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -deffffff -ffffffff -bef7ffff -ffffffff -4eaff5ff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/pack-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/pack-01.reference_output deleted file mode 100644 index aecfc75e2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/pack-01.reference_output +++ /dev/null @@ -1,1048 +0,0 @@ -00000000 -00000000 -5ae10604 -5ae10604 -c38671b6 -00000000 -2af42d39 -00000000 -2502307f -00000000 -392e6fa3 -00000000 -80e4d23b -00000000 -cec7313a -00000000 -5d003e38 -00000000 -0b815ef0 -00000000 -83e2ba3a -00000000 -46e4abd8 -00000000 -242d8cef -00000000 -d8d8dd47 -00000000 -c1f36fae -00000000 -d7704260 -00000000 -058160e6 -00000000 -d8bca4df -00000000 -e812dd49 -00000000 -00000000 -00000000 -2037e34e -00000000 -874434d3 -00000000 -875e9bf5 -00000000 -17d8a217 -00000000 -87f996d6 -00000000 -0d42ea01 -00000000 -2de4eb20 -00000000 -d6308385 -00000000 -ab72f99f -00000000 -4e7b7359 -00000000 -d96429c9 -00000000 -c8cdf63e -00000000 -c06209fb -00000000 -644918a7 -00000000 -4f63879f -80000000 -1f3c1ad7 -c0000000 -3bb3e347 -20000000 -2bedcfc5 -70000000 -7c496066 -e8000000 -a8552f4b -24000000 -24507198 -3a000000 -6656b0e2 -fd000000 -9929dfeb -02800000 -ff6036ed -4d400000 -93c22130 -10a00000 -a1c1c528 -98900000 -408b97cd -a8f80000 -aa8dc392 -db8c0000 -5c962250 -8c620000 -7c2890b1 -526b0000 -56f646e8 -28288000 -5710b7ae -3aaf4000 -3a810bd9 -ba452000 -22feb755 -54c37000 -fcd220d7 -9d416800 -8b082833 -29454400 -072f6ac9 -b6c00600 -0e724556 -91107f00 -3b50c99d -98dfbd80 -d6cbf3ae -3f6a4d40 -f66fa51d -8f8264e0 -aeb522fc -48b4be70 -63735e07 -12915378 -4b1d37e9 -33ecd4fc -0196f0c0 -323e7f5e -89476e6e -af5bc16d -00000000 -e92a6130 -00000000 -4bed2975 -00000000 -3206b471 -00000000 -4ef43b6f -00000000 -d236cded -00000000 -3480c0ad -00000000 -4360fec9 -00000000 -60e011eb -00000000 -522ae822 -00000000 -994a555f -00000000 -5d62953f -00000000 -cd07888b -00000000 -4ea4788d -00000000 -bb75156a -00000000 -709e60f1 -00000000 -3ff7e48d -00000000 -e716713f -00000000 -b03ff489 -00000000 -5c3d56e7 -00000000 -18ccd7f7 -00000000 -575d258e -00000000 -7ce1f4b3 -00000000 -6f9f34b2 -00000000 -4f832ec8 -00000000 -244d9206 -00000000 -5b130474 -00000000 -64e77961 -00000000 -305e3f80 -00000000 -61250888 -00000000 -07e8bf65 -00000000 -6291f0e2 -00000000 -58f45328 -00000000 -0a095049 -80000000 -3344cbb0 -c0000000 -e2ed8971 -60000000 -00f1f68e -f0000000 -48f71cb0 -98000000 -df880b11 -ec000000 -bd230058 -76000000 -86f90255 -8b000000 -80ebe557 -fb800000 -1db6027c -2c400000 -023590f7 -c2200000 -cd26ce1c -7b700000 -01b56748 -0cc80000 -5d3bbce0 -96fc0000 -fd1032e8 -dde60000 -f57083ef -d3570000 -75923260 -47f98000 -4616e73d -f9c74000 -8ccaec71 -7952a000 -6d5fcd18 -1ce93000 -2dedb6a7 -e301e800 -1d241ed6 -ac86ac00 -ddbad0b1 -de167a00 -a9e16e27 -06bf0d00 -1529755d -0e079180 -6273ed06 -d2955440 -6c5744bc -6ec13320 -a97bcc25 -f0f1c6b0 -d19e3224 -f0f54bc8 -56f547ab -92d9be34 -25329041 -058f7fe6 -5fefe911 -797d76df -598b88db -0aab4e37 -7727bd62 -8f64b9bc -b3aa58e5 -1d19df81 -3d56ac8b -7d3aed38 -b5ff09a7 -82283aee -14d5348f -963ab5d7 -f81a1e9f -740e023d -dd9329bf -dfe4c7a5 -66eef97f -af698a52 -865292ff -b2fe4fc2 -09fc3dff -e10e43d4 -64092bff -914aad80 -d92f97ff -e88130ba -30d04fff -0ae95557 -e6371fff -0b72bb91 -f8dd3fff -303ca1d0 -be5f7fff -832cbaff -70c2ffff -0c99c902 -d581ffff -3e0ad875 -1493ffff -6dd54cb4 -8d37ffff -1f893d02 -cc6fffff -6d64e7ad -bddfffff -3161b010 -5cbfffff -6fb0f1c0 -3e7fffff -a1d02d4d -b4ffffff -6be07552 -71ffffff -db0bba39 -b3ffffff -5d1139a4 -57ffffff -7a44c8ad -2fffffff -93547e48 -9fffffff -994902ca -3fffffff -4e2e7447 -7fffffff -f7192179 -ffffffff -15a03321 -ffffffff -b733640c -ffffffff -6aede476 -ffffffff -6d2db9e3 -ffffffff -bffb6c5c -ffffffff -27b012a3 -ffffffff -14a7dd83 -ffffffff -2f6aa770 -ffffffff -112154cc -ffffffff -f96eaffa -ffffffff -8fbff9ba -ffffffff -2552fbf9 -ffffffff -0f99d8bc -ffffffff -b68c8fce -ffffffff -13e5cf6b -ffffffff -47cd73ed -ffffffff -8364a709 -ffffffff -d0917142 -ffffffff -76427709 -ffffffff -20176dbe -ffffffff -9738bfb9 -ffffffff -5843990b -ffffffff -25fb16b4 -ffffffff -bd94509c -ffffffff -fe183d04 -ffffffff -386ef954 -ffffffff -0274846b -ffffffff -180c5db5 -ffffffff -41e5a5de -ffffffff -275bbf80 -ffffffff -ae5fdcbb -ffffffff -b0f94bb3 -ffffffff -1a5632e0 -96c03042 -d4d686cd -8033ada7 -31a3cf7b -6025666d -42ee9aa7 -f541ab61 -6a8877cf -cd09e875 -efeffc5f -f3d107a2 -883ead3f -46b88c5e -733f597f -00f0a572 -63dd2cff -269e1925 -4ef73dff -ff03170e -eb1873ff -0a710087 -291917ff -b74d1614 -ffe78fff -cf1ec7ba -ba185fff -457288a2 -5f67bfff -ee84a991 -f63c7fff -4c4006c3 -9d02ffff -65844c17 -d6f1ffff -e5c7946a -0c03ffff -64a14081 -5857ffff -cd41cad2 -16efffff -ee8f948a -e6dfffff -de38d7e2 -e4bfffff -8b9f8591 -f77fffff -0c96a183 -0affffff -88f931f4 -95ffffff -955235a4 -23ffffff -5c6c32a5 -97ffffff -4a7a5c05 -cfffffff -37e0de00 -1fffffff -0d770f3c -3fffffff -8dfc2307 -7fffffff -4e6ee408 -ffffffff -998d1525 -ffffffff -75ee935f -ffffffff -59cf4bb2 -ffffffff -97933e6c -ffffffff -9687f28f -ffffffff -d2fe2e7e -ffffffff -b6d75e84 -ffffffff -092936e8 -ffffffff -784abebb -ffffffff -4f829b65 -ffffffff -17b8b123 -ffffffff -8b16bb0d -ffffffff -39be2172 -ffffffff -91e42acb -ffffffff -975a8550 -ffffffff -b432d4f7 -ffffffff -b76d454d -ffffffff -cd9cb03a -ffffffff -eed7a24a -ffffffff -76b1fd3d -ffffffff -b3988b52 -ffffffff -3c464110 -ffffffff -9b01f7cc -ffffffff -5b331999 -ffffffff -2d37de81 -ffffffff -bf97e520 -ffffffff -6df8ccf6 -ffffffff -e08409f0 -ffffffff -432ff218 -ffffffff -03b1d74b -ffffffff -4b6ea010 -ffffffff -bbe8f88d -ffffffff -2f8b9e9d -66cc59ee -41b2bb9a -1ba9a6b5 -1d617a4d -fae6f67d -c3015fa0 -369580ff -a8e61cb6 -4c8281a2 -3b6b6093 -e717a666 -02b653e5 -4d3b8462 -cc1e0438 -b8fe90a6 -67def006 -6e803472 -e94ae4a8 -c32c4da8 -91d6cedd -a11f7657 -e4a7c5ba -cad764c4 -1844ebd2 -31f3c57c -2e67a854 -0a76f50a -99d68912 -5ac51cc8 -6d2b6540 -53aaf3b7 -c3c4b8a1 -ae53c374 -226b5502 -186211cb -ed48d09e -2874799a -2c2869b7 -2f3dc554 -25849de3 -e7b4b57e -099565a3 -7b692cda -cd872ab5 -af88e590 -80b68be6 -a2792e75 -b467fb8b -f7e7a342 -e94fbd21 -91e4f834 -18972e45 -af6a3e68 -671c82fc -b47053de -8b142f97 -d508ff34 -18d6084e -1690a1f7 -50dd1af1 -58fc0a18 -3b8f801d -962e5835 -87951cb6 -88b48922 -e0303f46 -e0f48d2f -7b1bda5e -7fb2d83b -31b0f86a -fa2f0afd -1cde8a08 -6b66ec95 -0bce1a9c -79fe0c5f -06a1096c -2080f2ac -03211cb3 -6776fd34 -01e48237 -1f36ddf8 -00b0fbce -7f65d54d -005516a9 -8fc0819e -0021bf99 -f2fbc7f9 -001f99de -ea7f7301 -000beec1 -2c42eeac -00079dd3 -de17b009 -00035bc3 -6be1fcde -0001db50 -1530959b -0000c1f1 -b7e06d03 -00004632 -ca71067b -00003cdc -167cd62e -00001ad8 -ec9a5dc8 -00000e5e -fb7a3b3b -00000456 -f1bae498 -00000216 -63522556 -0000014d -b3257dda -000000ad -c196c5c2 -00000042 -b6d3e879 -00000032 -79a2ed17 -0000001e -13f5bc90 -0000000d -a111f5fb -00000004 -d4652689 -00000003 -67ba7848 -00000001 -caa0a141 -00000000 -d42779f6 -47f439f3 -61eeac38 -73d58e1c -4671120e -36cdf8a1 -d26542ef -1ce262d6 -b6125e0d -f33335b6 -22dc73ac -e5498256 -e1594dc5 -ebb9c596 -cdc02ece -c12f694d -aa785c62 -32d1464e -24aa1735 -3dd1e044 -f517e383 -02e50777 -99edd4d2 -7b1ffc6a -84c955f2 -83b852d7 -70c61509 -d69f6b16 -ba6bc77d -b1b43d07 -14881edd -41d812cd -6111b4b6 -032fbce3 -92a38329 -9ffd6a18 -5a11cca6 -07bfc096 -8bcce7ce -f6a00758 -bb01ea76 -128ae84a -f6782942 -13284c79 -798c06ff -5b8349ce -a82cb2ce -08fb09a0 -236eba20 -7349dbc4 -482ea761 -21af214a -7219c1db -0f7a0443 -bfbd7d15 -11b41900 -47bc7549 -ead81dcd -3a782ebc -9da9b14d -4b1e943f -fa285a0d -6bba8d22 -e7a28cbd -bd0840fc -b45f51c3 -5bea8594 -8329c05b -3a8137ea -6be49ee7 -1891dd3d -6361b9f8 -0bf8670e -3fdf2348 -0711eb58 -952e1b8b -028dd9f4 -62dc08d6 -011e7a61 -c5cefdd8 -00c793f6 -2577c1ec -00736008 -a44f576a -0034106a -e286852c -001a83b3 -1dd377bf -00099a1f -c4ff64de -000700af -02cdf2af -0002f165 -1e34b3f1 -00016f3f -03e0d681 -0000b80f -45100358 -00004daa -65bd9acb -00002242 -0d650372 -00001aab -0023b682 -00000a12 -d8b9b45c -0000065b -902a174f -000003fd -3acb6266 -00000191 -154cd2aa -000000aa -a9964aef -00000079 -3bd03346 -00000026 -c7321cc0 -00000014 -30b17d0b -0000000f -d4ea65d0 -00000007 -9d95847e -00000002 -cb008853 -00000001 -965eda32 -00000000 -c7fde805 -aa01e126 -7443f200 -3591db10 -dab0001e -656224e9 -8901096d -30d268bd -dcf0c656 -d69f32e6 -b828fd34 -f38e735f -2d79e311 -74bc1570 -1a5928f7 -b918b7fa -6f6da856 -0282f24d -0880b668 -b8b00cb6 -e9e678bb -eb74f8bb -9c23bdb8 -859b4c3f -f83106c9 -0da5f55f -0e22c1e0 -f044106f -e41ba01b -8e73758e -8514f49d -fb566e3e -b3b2fa55 -63adcdbb -7d61ce76 -7825d0f4 -81b4d2d8 -c5f0a710 -9bfa3293 -2407e26d -e778555d -d9e0e7fe -4cae8b6c -b5c06c9d -dbc1f2b1 -d788d65b -36c35dc6 -36015d9b -a2465d2a -255c09e0 -cf4c7d09 -9e49c4a1 -49aae370 -1ac24829 -2752aa64 -17550d1c -40d0e5e8 -120ff957 -a0a1c6f0 -2f8d1335 -748ca591 -6056f5e8 -9fc745d1 -bd0070ae -a97cbf85 -62a12347 -1fe84ebd -c478ef2d -af8957f9 -07155a89 -c165f0f1 -c2cc1d38 -efadea82 -95a6bea9 -f7fcc18c -d216eea8 -f8d3e5f5 -fc9a02b1 -fc15b0f7 -0c20b9ee -feac3217 -06aec150 -ff5ba80c -37c4c6b9 -ff87984f -a311d81e -ffce1bbc -62ebf954 -ffef1d76 -0320df72 -fff3ae62 -79a6551f -fff81475 -d9716f2c -fffce9a5 -1e4e0fad -fffe5770 -e3d4dd27 -ffff4877 -b4c8839b -ffffb0b3 -54084572 -ffffdd0f -c099bc4c -ffffe1e2 -7dddcc72 -fffff4e3 -6dc3ccee -fffffb86 -d9adf5d6 -fffffdc4 -0d453cdf -fffffec3 -cb293ebd -ffffff3f -6ed26006 -ffffffb1 -8347ddf5 -ffffffd6 -fc6c3192 -ffffffef -7886e3eb -fffffff4 -e1832ab6 -fffffffb -596f5f89 -fffffffc -ca11073d -fffffffe -dc17ae6e -ffffffff -a85ab567 -9408ad7d -85d77c9b -53a27ee1 -56df45de -e356529c -ea37dc21 -041bbaab -31150919 -9267acb5 -91ed6c94 -12ea67e4 -4ec6f18c -ef8c60c0 -484641f6 -2fb5a758 -12e91176 -65835bdf -042d678a -53e1e6bc -b87ce2a6 -bbc9df37 -9651ee66 -9a592829 -7b8b7233 -725f3a8f -a3b633ac -5956b39d -81ed75e8 -437cbc41 -a0fbc248 -4b43ad85 -5c37849b -6c967b0e -c5b3e01c -0e24d9cc -35a7efc1 -a6faba7b -44115b05 -c86342c2 -72976012 -be5265f3 -e3356cc4 -85bf95c0 -fc7c6d0b -af334e15 -fc6113a4 -31d4ff08 -985a9ef1 -eb6992d5 -fb37bec9 -7a3209fe -0bd61d6d -fbd2570d -6f738905 -4a104e66 -afe08a14 -1dcacf74 -bd59213f -52b42eff -a6478a56 -e8d7a714 -b9e93d53 -754f9b96 -75697302 -ec325eec -955d1ce8 -ccadb61f -d7be0830 -1bd775c5 -e046ab61 -109ff475 -f14135a5 -090a96c9 -fba1a6cb -2a71a2ad -fc9e9033 -e5e290be -fe238b6b -1011eeb4 -ff7d8180 -458da5ef -ff832e3f -67a07b54 -ffc77cdc -51c5b8b2 -ffe22746 -8b7b5378 -fff20599 -58fa6e1c -fffbe2c6 -2c9f7296 -fffc39a2 -2daf94c1 -fffed06b -6a013380 -ffff5ea2 -c5ec6148 -ffff8647 -99ef1857 -ffffc823 -dae98554 -ffffedb9 -3d061437 -fffff576 -280b11fd -fffffadf -a7298c66 -fffffd7f -8c8a18b2 -fffffee4 -4d88450f -ffffff11 -0b54aa22 -ffffff8b -3d4806c2 -ffffffcd -4d984bff -ffffffe0 -acca7f0d -fffffff5 -5ae6a228 -fffffffb -bedc25e6 -fffffffc -53e8eb43 -fffffffe -cf84b683 -ffffffff -03cc0f27 -5ae10604 -00000000 -3d32d01e -00000000 -2de4eb20 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packh-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packh-01.reference_output deleted file mode 100644 index 2375e105b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packh-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -0000ffff -00000000 -00000404 -00000000 -000000b6 -00000000 -00000039 -00000000 -0000007f -00000000 -000000a3 -00000000 -0000003b -00000000 -0000003a -00000000 -00000038 -00000000 -000000f0 -00000000 -0000003a -00000000 -000000d8 -00000000 -000000ef -00000000 -00000000 -00000000 -000000ae -00000000 -00000060 -00000000 -000000e6 -00000000 -000000df -00000000 -00000049 -00000000 -0000001e -00000000 -0000004e -00000000 -000000d3 -00000000 -000000f5 -00000000 -00000017 -00000000 -000000d6 -00000000 -00000001 -00000000 -00000020 -00000000 -00000000 -00000000 -0000009f -00000000 -00000059 -00000000 -000000c9 -00000000 -0000003e -00000000 -000000fb -00000000 -000000a7 -00000000 -0000009f -00000000 -000000d7 -00000000 -00000047 -00000000 -000000c5 -00000000 -00000066 -00000000 -0000004b -00000000 -00000098 -00000000 -000000e2 -00000000 -000000eb -00000000 -000000ed -00000000 -00000030 -00000000 -00000028 -00000000 -000000cd -00000000 -00000092 -00000000 -00000050 -00000000 -000000b1 -00000000 -000000e8 -00000000 -000000ae -00000000 -000000d9 -00000000 -00000055 -00000000 -000000d7 -00000000 -00000033 -00000000 -000000c9 -00000000 -00000056 -00000000 -0000809d -00000000 -000040ae -00000000 -0000e01d -00000000 -000070fc -00000000 -00007807 -00000000 -0000fce9 -00000000 -00005ec0 -00000000 -00006d6e -00000000 -00003000 -00000000 -00007500 -00000000 -00007100 -00000000 -00006f00 -00000000 -0000ed00 -00000000 -0000ad00 -00000000 -0000c900 -00000000 -0000eb00 -00000000 -00002200 -00000000 -00005f00 -00000000 -00003f00 -00000000 -00008b00 -00000000 -00008d00 -00000000 -00006a00 -00000000 -0000f100 -00000000 -00008d00 -00000000 -00003f00 -00000000 -00008900 -00000000 -0000e700 -00000000 -0000f700 -00000000 -00008e00 -00000000 -0000b300 -00000000 -0000b200 -00000000 -0000c800 -00000000 -00000600 -00000000 -00007400 -00000000 -00006100 -00000000 -00008000 -00000000 -00008800 -00000000 -00006500 -00000000 -0000e200 -00000000 -00002800 -00000000 -00004900 -00000000 -0000b000 -00000000 -00007100 -00000000 -00008e00 -00000000 -0000b000 -00000000 -00001100 -00000000 -00005800 -00000000 -00005500 -00000000 -00005700 -00000000 -00007c00 -00000000 -0000f700 -00000000 -00001c00 -00000000 -00004800 -00000000 -0000e000 -00000000 -0000e800 -00000000 -0000ef00 -00000000 -00006000 -00000000 -00003d00 -00000000 -00007100 -00000000 -00001800 -00000000 -0000a700 -00000000 -0000d600 -00000000 -0000b100 -00000000 -00002700 -00000000 -00005d00 -00000000 -00000680 -00000000 -0000bc40 -00000000 -00002520 -00000000 -000024b0 -00000000 -0000abc8 -00000000 -00004134 -00000000 -000011e6 -00000000 -0000dbdf -00000000 -00006237 -00000000 -0000e5bc -00000000 -00008b81 -00000000 -0000a738 -00000000 -00008fee -00000000 -00009fd7 -00000000 -0000bf3d -00000000 -00007fa5 -00000000 -0000ff52 -00000000 -0000ffc2 -00000000 -0000ffd4 -00000000 -0000ff80 -00000000 -0000ffba -00000000 -0000ff57 -00000000 -0000ff91 -00000000 -0000ffd0 -00000000 -0000ffff -00000000 -0000ff02 -00000000 -0000ff75 -00000000 -0000ffb4 -00000000 -0000ff02 -00000000 -0000ffad -00000000 -0000ff10 -00000000 -0000ffc0 -00000000 -0000ff4d -00000000 -0000ff52 -00000000 -0000ff39 -00000000 -0000ffa4 -00000000 -0000ffad -00000000 -0000ff48 -00000000 -0000ffca -00000000 -0000ff47 -00000000 -0000ff79 -00000000 -0000ff21 -00000000 -0000ff0c -00000000 -0000ff76 -00000000 -0000ffe3 -00000000 -0000ff5c -00000000 -0000ffa3 -00000000 -0000ff83 -00000000 -0000ff70 -00000000 -0000ffcc -00000000 -0000fffa -00000000 -0000ffba -00000000 -0000fff9 -00000000 -0000ffbc -00000000 -0000ffce -00000000 -0000ff6b -00000000 -0000ffed -00000000 -0000ff09 -00000000 -0000ff42 -00000000 -0000ff09 -00000000 -0000ffbe -00000000 -0000ffb9 -00000000 -0000ff0b -00000000 -0000ffb4 -00000000 -0000ff9c -00000000 -0000ff04 -00000000 -0000ff54 -00000000 -0000ff6b -00000000 -0000ffb5 -00000000 -0000ffde -00000000 -0000ff80 -00000000 -0000ffbb -00000000 -0000ffb3 -00000000 -000042e0 -00000000 -0000a7cd -00000000 -00006d7b -00000000 -000061a7 -00000000 -000075cf -00000000 -0000a25f -00000000 -00005e3f -00000000 -0000727f -00000000 -000025ff -00000000 -00000eff -00000000 -000087ff -00000000 -000014ff -00000000 -0000baff -00000000 -0000a2ff -00000000 -000091ff -00000000 -0000c3ff -00000000 -000017ff -00000000 -00006aff -00000000 -000081ff -00000000 -0000d2ff -00000000 -00008aff -00000000 -0000e2ff -00000000 -000091ff -00000000 -000083ff -00000000 -0000f4ff -00000000 -0000a4ff -00000000 -0000a5ff -00000000 -000005ff -00000000 -000000ff -00000000 -00003cff -00000000 -000007ff -00000000 -000008ff -00000000 -000025ff -00000000 -00005fff -00000000 -0000b2ff -00000000 -00006cff -00000000 -00008fff -00000000 -00007eff -00000000 -000084ff -00000000 -0000e8ff -00000000 -0000bbff -00000000 -000065ff -00000000 -000023ff -00000000 -00000dff -00000000 -000072ff -00000000 -0000cbff -00000000 -000050ff -00000000 -0000f7ff -00000000 -00004dff -00000000 -00003aff -00000000 -00004aff -00000000 -00003dff -00000000 -000052ff -00000000 -000010ff -00000000 -0000ccff -00000000 -000099ff -00000000 -000081ff -00000000 -000020ff -00000000 -0000f6ff -00000000 -0000f0ff -00000000 -000018ff -00000000 -00004bff -00000000 -000010ff -00000000 -00008dff -00000000 -00009dff -00000000 -00009aee -00000000 -00004db5 -00000000 -0000a07d -00000000 -0000b6ff -00000000 -000093a2 -00000000 -0000e566 -00000000 -00003862 -00000000 -000006a6 -00000000 -0000a872 -00000000 -0000dda8 -00000000 -0000ba57 -00000000 -0000d2c4 -00000000 -0000547c -00000000 -0000120a -00000000 -000040c8 -00000000 -0000a1b7 -00000000 -00000274 -00000000 -00009ecb -00000000 -0000b79a -00000000 -0000e354 -00000000 -0000a37e -00000000 -0000b5da -00000000 -0000e690 -00000000 -00008b75 -00000000 -00002142 -00000000 -00004534 -00000000 -0000fc68 -00000000 -000097de -00000000 -00004e34 -00000000 -0000f1f7 -00000000 -00001d18 -00000000 -0000b635 -00000000 -00004622 -00000000 -00005e2f -00000000 -00006a3b -00000000 -000008fd -00000000 -00009c95 -00000000 -00006c5f -00000000 -0000b3ac -00000000 -00003734 -00000000 -0000cef8 -00000000 -0000a94d -00000000 -0000999e -00000000 -0000def9 -00000000 -0000c101 -00000000 -0000d3ac -00000000 -0000c309 -00000000 -000050de -00000000 -0000f19b -00000000 -00003203 -00000000 -0000dc7b -00000000 -0000d82e -00000000 -00005ec8 -00000000 -0000563b -00000000 -00001698 -00000000 -00004d56 -00000000 -0000adda -00000000 -000042c2 -00000000 -00003279 -00000000 -00001e17 -00000000 -00000d90 -00000000 -000004fb -00000000 -00000389 -00000000 -00000148 -00000000 -00000041 -00000000 -0000f3f6 -00000000 -00001c38 -00000000 -0000a10e -00000000 -0000d6ef -00000000 -0000b60d -00000000 -000056ac -00000000 -000096c5 -00000000 -00004dce -00000000 -00004e62 -00000000 -00004435 -00000000 -00007783 -00000000 -00006ad2 -00000000 -0000d7f2 -00000000 -00001609 -00000000 -0000077d -00000000 -0000cddd -00000000 -0000e3b6 -00000000 -00001829 -00000000 -000096a6 -00000000 -000058ce -00000000 -00004a76 -00000000 -00007942 -00000000 -0000ceff -00000000 -0000a0ce -00000000 -0000c420 -00000000 -00004a61 -00000000 -000043db -00000000 -00000015 -00000000 -0000cd49 -00000000 -00004dbc -00000000 -00000d3f -00000000 -0000bd22 -00000000 -0000c3fc -00000000 -00005b94 -00000000 -0000e7ea -00000000 -0000f83d -00000000 -0000480e -00000000 -00008b58 -00000000 -0000d6f4 -00000000 -0000d861 -00000000 -0000ecf6 -00000000 -00006a08 -00000000 -00002c6a -00000000 -0000bfb3 -00000000 -0000de1f -00000000 -0000afaf -00000000 -0000f165 -00000000 -0000813f -00000000 -0000580f -00000000 -0000cbaa -00000000 -00007242 -00000000 -000082ab -00000000 -00005c12 -00000000 -00004f5b -00000000 -000066fd -00000000 -0000aa91 -00000000 -0000efaa -00000000 -00004679 -00000000 -0000c026 -00000000 -00000b14 -00000000 -0000d00f -00000000 -00007e07 -00000000 -00005302 -00000000 -00003201 -00000000 -00000500 -00000000 -00000026 -00000000 -00001e10 -00000000 -00006de9 -00000000 -000056bd -00000000 -000034e6 -00000000 -0000115f -00000000 -0000f770 -00000000 -000056fa -00000000 -0000684d -00000000 -0000bbb6 -00000000 -0000b8bb -00000000 -0000c93f -00000000 -0000e05f -00000000 -00001b6f -00000000 -00009d8e -00000000 -0000553e -00000000 -000076bb -00000000 -0000d8f4 -00000000 -00009310 -00000000 -00005d6d -00000000 -00006cfe -00000000 -0000b19d -00000000 -0000c65b -00000000 -00002a9b -00000000 -000009e0 -00000000 -000070a1 -00000000 -00006429 -00000000 -0000e81c -00000000 -0000f057 -00000000 -00009135 -00000000 -0000d1e8 -00000000 -000085ae -00000000 -0000bd47 -00000000 -0000f92d -00000000 -0000f189 -00000000 -00008238 -00000000 -00008ca9 -00000000 -0000f5a8 -00000000 -0000f7b1 -00000000 -000017ee -00000000 -00000c50 -00000000 -00004fb9 -00000000 -0000bc1e -00000000 -00007654 -00000000 -00006272 -00000000 -0000751f -00000000 -0000a52c -00000000 -000070ad -00000000 -00007727 -00000000 -0000b39b -00000000 -00000f72 -00000000 -0000e24c -00000000 -0000e372 -00000000 -000086ee -00000000 -0000c4d6 -00000000 -0000c3df -00000000 -00003fbd -00000000 -0000b106 -00000000 -0000d6f5 -00000000 -0000ef92 -00000000 -0000f4eb -00000000 -0000fbb6 -00000000 -0000fc89 -00000000 -0000fe3d -00000000 -0000ff6e -00000000 -00007d67 -00000000 -0000e19b -00000000 -00009cde -00000000 -0000ab21 -00000000 -0000b519 -00000000 -0000e494 -00000000 -0000c08c -00000000 -000058f6 -00000000 -0000df76 -00000000 -0000bc8a -00000000 -000037a6 -00000000 -00002966 -00000000 -00008f33 -00000000 -00009dac -00000000 -000041e8 -00000000 -00008548 -00000000 -00000e9b -00000000 -0000cc1c -00000000 -00007bc1 -00000000 -0000c205 -00000000 -0000f312 -00000000 -0000c0c4 -00000000 -0000150b -00000000 -000008a4 -00000000 -0000d5f1 -00000000 -0000fec9 -00000000 -00000d6d -00000000 -00006605 -00000000 -00007414 -00000000 -0000ff3f -00000000 -00001456 -00000000 -00009653 -00000000 -0000ec02 -00000000 -00001fe8 -00000000 -0000c530 -00000000 -00007561 -00000000 -0000c9a5 -00000000 -0000adcb -00000000 -0000be33 -00000000 -0000b46b -00000000 -0000ef80 -00000000 -0000543f -00000000 -0000b2dc -00000000 -00007846 -00000000 -00001c99 -00000000 -000096c6 -00000000 -0000c1a2 -00000000 -0000806b -00000000 -000048a2 -00000000 -00005747 -00000000 -00005423 -00000000 -000037b9 -00000000 -0000fd76 -00000000 -000066df -00000000 -0000b27f -00000000 -00000fe4 -00000000 -00002211 -00000000 -0000c28b -00000000 -0000ffcd -00000000 -00000de0 -00000000 -000028f5 -00000000 -0000e6fb -00000000 -000043fc -00000000 -000083fe -00000000 -000027ff -00000000 -00000004 -00000000 -000000d8 -00000000 -00000047 -00000000 -00000085 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packu-01.reference_output deleted file mode 100644 index 105e64990..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packu-01.reference_output +++ /dev/null @@ -1,1044 +0,0 @@ -ffffffff -ffffffff -00000000 -00000000 -6f061d6d -80000000 -d2eeeb1c -40000000 -485246bf -a0000000 -d50bd94a -30000000 -88627494 -38000000 -2405b307 -4c000000 -b1410cba -82000000 -de81bcad -69000000 -bf28804a -cc800000 -fd8bf412 -19400000 -063bf2da -20a00000 -811d9484 -5c500000 -8cb7ed4f -cd280000 -666fafe7 -eb240000 -fdb7038b -cfb60000 -a4e23852 -118f0000 -718e177d -3b0b8000 -42bea775 -3da84000 -e91ede43 -a742a000 -d2039372 -a3def000 -e8dec4b7 -3af37800 -eed7c61c -4d64cc00 -4eab740b -f37b4e00 -2466b460 -efe74900 -4256f18f -e0776780 -8533dc75 -fa56aa40 -ca355a09 -60c9f220 -22fed7db -af36f110 -b6de8bcf -03339908 -b9fbd369 -5c67c8fc -324c464b -c706e0c2 -70fd0c20 -efe091e3 -755cd0bf -cb7cdda3 -a31e74cf -51885e99 -300d9b71 -87503d9d -cb0acb58 -1ac7983c -fd5324a4 -1857bc0a -a46ee1f1 -b9545b91 -4bff93c1 -ffe1759c -e3ac0343 -d12c4a6d -75bd36c7 -4978c517 -ea894c29 -ec557c3c -46b7549d -7fab9b08 -7e107814 -af8fda61 -57e9f6c2 -707df5a4 -f3c9fbed -924d5d8c -1078e539 -200dc762 -57b0d4c1 -415dc3e7 -9812a134 -448e60ef -d367d9f1 -ad881be6 -1f8b62c2 -d3262066 -ab4a4d5c -955964c5 -5cbaf664 -0b66a666 -d497855d -610ea259 -97db1001 -c19961dd -d63ef4de -4c414415 -1baf44cf -fc44172c -eab762a9 -985875b0 -9b90f7ca -fcd87651 -cbd297d6 -443a809e -da53e667 -b914351d -77a061ba -922ec2bf -a6c87383 -0e1db424 -33439fc4 -7f8c74b1 -00000000 -1a323609 -80000000 -ed4eced7 -40000000 -29cb52af -20000000 -0ae5de17 -d0000000 -b725f591 -58000000 -d5f381d6 -fc000000 -56ffe58d -42000000 -699492c9 -77000000 -25f013ba -65800000 -48659245 -46c00000 -491f1b5a -56e00000 -8b57454a -56b00000 -2b9c75b6 -47380000 -045abe77 -35440000 -a9cc49b7 -09320000 -75cdcd80 -cd550000 -b2b64c4b -fd428000 -6eb5568b -8e8a4000 -5dd1ee29 -1370a000 -f19c9fda -1408b000 -74fb05dc -e87af800 -9f7c62bb -dba90400 -5d98418d -01d61e00 -b21e137c -6e905700 -afc08ace -2e84d280 -52d700ac -c70a28c0 -94a7a5ea -baa4f320 -4fe6a6f6 -5c106a30 -cadb5bec -230b9f88 -cc381e10 -8d60807c -903636cf -2d47691a -fae216dc -fabf0a7b -6aeb7dbd -11b6621f -6f6e71b7 -486e4b47 -440d8382 -60e99d0e -b1b0d8fe -f547af48 -855efa74 -9254a04d -0bc66a8a -adf58064 -29828207 -01dae235 -80904b4c -b1ff9d60 -27a16894 -179fafe7 -fbdb4de8 -fbcf7628 -805c2f63 -e9fd4b63 -577f8847 -42dc4354 -f29c5831 -ed4764dd -2e9115b6 -51b0f5ab -2ae568ba -a544ade7 -db04a838 -925c46cb -5e4945ed -1ae589fb -096ebec4 -1d2acd14 -387be8b8 -9c9d5a52 -0b21bbba -2b20055d -073465b8 -ae39ed63 -409bd305 -d7f893c3 -b0ab577a -19b3bff3 -42f5d75e -f09825a4 -f7ab62a8 -01555a45 -8a88c067 -532e2cc5 -f8a88518 -fb7523b0 -8248f803 -382cc710 -331b2fb3 -4c52cfc2 -e7edd867 -3dcbe162 -fa60dbd6 -fe4544f6 -7b87a9e2 -44dcda6a -248174e5 -33bfb0e8 -7af0702b -dedbf20a -ef6855ed -07020244 -b93ac7ee -a3a30485 -cc31d1dc -0368a583 -ef900fb8 -a0fa6a24 -7d572379 -1606f8cc -f5858bcc -81294a71 -ea7bd402 -9ca99acf -b95bf2e9 -0440ea57 -097ceae8 -6c456bfc -67212b9d -64ad8cd4 -9da9065d -757e5228 -bd7a5054 -c6592aad -6cb1be29 -7fb1ac68 -6f3b6fa6 -06e1dd67 -249808d0 -b8f66a4f -1a5b9ccd -c8738f37 -f4ee2270 -66a4e1f8 -8e2b5c7e -6673663c -355695e6 -7de7f426 -f4f31a2b -f17dc31d -28f20e42 -1b607a8d -5415a043 -04ef0623 -590c01c4 -853ed27f -004fb0b2 -eb9a5398 -03eb3f6c -d183808a -e30aa425 -1ff934cb -d756c0f0 -3bbc81ce -b5bb3b24 -8b898000 -e52fb081 -1ab5ffe4 -710733fe -19a55fa9 -cee6c948 -c0a83d81 -1e903ad2 -292268c6 -a6e915bd -36370fcc -be4349e3 -e820ba0f -3ea485f7 -4e0aa561 -c680322f -2c9aaa4c -9ef4ce9f -1c8073cf -83653ebf -cc11f70e -6ffd7d7f -0c9dc3ec -365ee0ff -8df80a8d -1ce551ff -e05474e1 -a10263ff -4b6ae0ab -4cc607ff -884a2af8 -00d36fff -1475d76c -7b40dfff -729d8fd2 -6a0f3fff -c407e2a9 -1fe47fff -5697ebd5 -dbeeffff -69f35da3 -9269ffff -9230a15a -93fbffff -e057776c -1ab7ffff -b9e861c8 -222fffff -17c5da8e -489fffff -b888d020 -5a3fffff -ee8eb1b1 -ea7fffff -0341ea23 -82ffffff -6d5c2871 -b1ffffff -9bae401e -c3ffffff -9241b7ed -87ffffff -3e610134 -8fffffff -39c88ec0 -9fffffff -a67ce511 -bfffffff -24b6b671 -7fffffff -6dae4547 -ffffffff -0168ef24 -220746cc -30d2ec9f -2dc04d35 -c42a14b6 -b6cf3de4 -27b2bdda -8964663c -2815a915 -7bea8a85 -fe0022c2 -da80ddef -424f6311 -54fad640 -4d0957fb -86bddb7c -448bfe11 -c8ea69a8 -67dfca77 -633f9e36 -c312e040 -1c029006 -9f440f98 -b36964f8 -5569dab7 -a09c74e3 -03fd3b8c -ddc89919 -0d5574b4 -07c8adbb -8ba43677 -42c1be6c -231d058c -4cace191 -8c0f5cbf -0d4c58ab -b7e7669e -9edfcc1b -4399ec2a -d24f0724 -7a9ac0a7 -5f249e4d -0143ac65 -ae7c7ae4 -e31f9713 -bd5a3c08 -cdbd527d -529d62b6 -59dde331 -4ba49966 -e05e8c5f -fd670591 -19835a0d -7dabb700 -636a75e3 -088b3e9e -280088db -4ed62428 -4a18738a -1ca7bd1f -fdd2cb40 -63c2504c -c61b1fbf -30bff192 -0bacfc76 -ebda5a4f -65cb60bd -1635b51a -a4053173 -6ce859bb -3e87b427 -499006c8 -6cc30f6f -3c5b3eee -25784f5f -d95fd86a -27b23dbf -e91014a0 -d5a2037f -01769a3c -e81fdcff -85a300e0 -ff7745ff -1f1baf6a -708903ff -86ce51bd -a4be27ff -b1f5d853 -31602fff -2ad60725 -ba4a1fff -5761a866 -7f103fff -09e4d1f4 -f8a77fff -9a7ef9e4 -da88ffff -a827f5a3 -2449ffff -59c05bb9 -6c9bffff -de14bff2 -6e27ffff -63c2a48f -31cfffff -5dcf019d -215fffff -90bb3480 -433fffff -47b7097b -857fffff -40d90a1e -acffffff -4b1634e1 -6dffffff -fcb627af -3bffffff -abb4da1c -67ffffff -41b79d35 -2fffffff -d38cadcd -dfffffff -fe339eca -bfffffff -07ac5fed -7fffffff -2ff7c0fc -ffffffff -a6ea1c0d -8138093c -f192d0d7 -bd21a956 -5d8a9ea9 -932d823f -2537437f -b3852a64 -17f8388b -567b159a -0de1b952 -9fbfcb0a -07571fe6 -7805ec94 -037adfd3 -2f5031f8 -01f2bae5 -c3e60e90 -00c42276 -421d9b0a -007fb916 -f088bed0 -0037193e -03f26964 -00172f2a -9ad8e8b1 -000fcb68 -d8219c9d -00070446 -6e19ce13 -0003db77 -6410ff87 -00013ef0 -764a44b4 -0000ac0b -946c61bc -000067a5 -fd846420 -000028a1 -1d6d2a93 -00001bf9 -9199165c -00000f99 -1b2e2cd7 -0000044e -d53c269b -00000213 -c21668aa -00000112 -5c7fb02d -000000a4 -aeb0a94c -00000075 -cc81f272 -0000002d -2041c033 -00000011 -f0f1d8db -00000008 -426e6ddf -00000006 -e715dfe5 -00000002 -db54e659 -00000001 -a3729599 -00000000 -6fd08d91 -00000000 -1ad9c6d8 -00000000 -05d54cb2 -00000000 -9e2e5be5 -00000000 -866534cd -00000000 -56f55245 -00000000 -44eb31e4 -00000000 -7db4d3b5 -00000000 -3372969f -00000000 -a38d0f39 -00000000 -b06670aa -00000000 -23f7d227 -00000000 -887aae6a -00000000 -106607dc -00000000 -c4aaf35a -00000000 -0981abb6 -00000000 -db14a009 -00000000 -eea93b6f -00000000 -fa342b15 -00000000 -b7b8b1a0 -00000000 -3473f51f -00000000 -57d53e43 -00000000 -2141c6d1 -00000000 -e7ff25b9 -00000000 -28c0d4ae -00000000 -0942c3fb -00000000 -418bfbb0 -00000000 -af3aeaa3 -00000000 -1d849e2b -00000000 -44339c10 -00000000 -746fe5b9 -00000000 -9064dbd9 -00000000 -880f73bb -9211a8d8 -60301309 -520235bc -2cfcc396 -d4b59c05 -1b4c0859 -735dc327 -086b6a04 -faf8dfcd -06720c54 -d945bbf3 -02d51bff -51054839 -01554174 -ea0a668a -00a746a4 -a2592b9d -005a6296 -53b3b0ff -00260fdd -5e320f4a -00159ecb -05b4d756 -000dc63d -07a04e64 -00066900 -8a8f7aef -0002b3db -94b953ed -0001bc44 -e13a0996 -0000f1b2 -9bdeb398 -00006766 -a98a372e -0000239d -dd0c8b94 -00001fa0 -ea3d9be7 -00000c1f -13446df8 -000006e3 -7b73ccf8 -000002fa -b372c56b -0000012b -ec7038c9 -000000aa -fb019df4 -0000004a -1799e728 -00000028 -0beddb07 -00000015 -44e9e4a5 -0000000e -ea3a0683 -00000006 -9d42f670 -00000002 -119b4fe5 -00000001 -98b20411 -00000000 -64409ddb -00000000 -f6724ba0 -00000000 -0c228266 -00000000 -e1d7300f -00000000 -b9b338eb -00000000 -a2f7647a -00000000 -12ca3f70 -00000000 -9c3ecb54 -00000000 -36971e1b -00000000 -93b3a3d9 -00000000 -050684bf -00000000 -65151c40 -00000000 -21870f0b -00000000 -0f552c94 -00000000 -2274ea18 -00000000 -f6cdb2f8 -00000000 -563e9bed -00000000 -6b77730f -00000000 -78511608 -00000000 -36a80bdf -00000000 -4a789cb3 -00000000 -c42b7170 -00000000 -834c687a -00000000 -b53302fc -00000000 -15c1d2df -00000000 -a3ea284d -00000000 -76c468ae -00000000 -e12b2b8f -00000000 -8743feb6 -00000000 -a66b0d38 -00000000 -2fa91425 -00000000 -309d6b79 -00000000 -7734d7c1 -2bb9daa6 -2dc86f6d -f01528ec -b2de7072 -74a8eaec -dabfc6d7 -fcc1650f -e3ff5ec4 -61d4c262 -f7fe676e -bee2ee6e -fbde6f8e -6d80feee -fd5d359f -efb5b593 -feb171e3 -ee412da7 -ff4f4d10 -09322235 -ffa80c91 -c9763989 -ffdb1391 -0c64d280 -ffe25182 -ac79fc27 -fff0ffc4 -513ee651 -fff8e49c -612faf5e -fffcd94d -36334245 -fffee5ce -2a9cc14f -ffff34a3 -279ee973 -ffffb7e9 -2dd60261 -ffffc1b6 -071c80a0 -ffffeb07 -76b4a3dd -fffff54d -1d95cdb0 -fffff905 -6b3d9c59 -fffffcc0 -891b8273 -fffffe5b -b68a272a -ffffff0f -ce80f7b1 -ffffff8d -897d9fc5 -ffffffdf -75f32b50 -ffffffee -239853f9 -fffffff3 -08d6920b -fffffffa -94038f33 -fffffffc -a9632e3d -fffffffe -1141b67f -ffffffff -faa97965 -ffffffff -d6ac851f -ffffffff -07f5e51d -ffffffff -9875bad1 -ffffffff -339863c4 -ffffffff -c988a7cc -ffffffff -5bc1c366 -ffffffff -132a7176 -ffffffff -498db88f -ffffffff -c313bc1f -ffffffff -b1834066 -ffffffff -aa467bbe -ffffffff -9b9aaad1 -ffffffff -e4367cca -ffffffff -3c2c0e92 -ffffffff -b264d045 -ffffffff -6baeea37 -ffffffff -e0f003e9 -ffffffff -f69b0ae6 -ffffffff -29ade05f -ffffffff -13e6d903 -ffffffff -0af2ee97 -ffffffff -2a5dc0a0 -ffffffff -e6a4d5ca -ffffffff -a422af66 -ffffffff -755961a9 -ffffffff -9aaacd63 -ffffffff -9c1f741f -ffffffff -5902a9db -ffffffff -1694bd33 -ffffffff -dd4ec4d8 -ffffffff -ef677106 -ffffffff -313971c0 -0cd5d8e2 -aec15274 -dec85b0d -d9157bee -93017690 -e9907c4b -647981b7 -f1ccaecf -fbdf8f97 -fab2993a -f37e27a3 -fc6f9f6b -0da64fcf -fe11d383 -3da82c98 -ff0d9d60 -9c3d087c -ffbe66b1 -a6c5e214 -ffd1f3aa -d6220b4f -ffeb78cc -fe0a9c6c -fff6f2fc -da70525a -fffa3d4f -b9fef1d6 -fffd1160 -67913217 -fffeb3be -81aa70ac -ffff2dd5 -c2680192 -ffffae62 -5916d281 -ffffc752 -3322358c -ffffe41c -a08b84f3 -fffff595 -8e368ce0 -fffff9e2 -b8f4169f -fffffc47 -ffec35fe -fffffe96 -6d3f408b -ffffff3f -c96efdc4 -ffffffa4 -242a809b -ffffffc5 -f65e7737 -ffffffe9 -e380a176 -fffffff1 -f65cf3f3 -fffffffb -9e7e1fc3 -fffffffd -85fcd601 -fffffffe -6c5e1578 -ffffffff -72745307 -ffffffff -7c2c966d -ffffffff -9bb4752d -ffffffff -0cf25923 -ffffffff -df3c45b4 -ffffffff -8f0846a2 -ffffffff -66b072b9 -ffffffff -2a933ad3 -ffffffff -8525e8a8 -ffffffff -9535971c -ffffffff -3e1c8521 -ffffffff -b66b3284 -ffffffff -53fbff6c -ffffffff -c70afc92 -ffffffff -dd68f201 -ffffffff -7213516d -ffffffff -18bb28e9 -ffffffff -265039f6 -ffffffff -14b91c79 -ffffffff -0973e89c -ffffffff -d798c9cf -ffffffff -95a4d257 -ffffffff -735c076b -ffffffff -894deab4 -ffffffff -953b00b0 -ffffffff -f829d29f -ffffffff -a96ec2b3 -ffffffff -432779ee -ffffffff -61b0ee09 -ffffffff -ff1e5bef -ffffffff -137a9777 -ffffffff -2904cdef -ffffffff -34c2da80 -5ba2c7dd -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packuw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packuw-01.reference_output deleted file mode 100644 index 063e4e3aa..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packuw-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -5ae15ae1 -00000000 -0000c386 -00000000 -00002af4 -00000000 -00002502 -00000000 -0000392e -00000000 -000080e4 -00000000 -0000cec7 -00000000 -00005d00 -00000000 -00000b81 -00000000 -000083e2 -00000000 -000046e4 -00000000 -0000242d -00000000 -0000d8d8 -00000000 -0000c1f3 -00000000 -0000d770 -00000000 -00000581 -00000000 -0000d8bc -00000000 -0000e812 -00000000 -00003d32 -00000000 -00002037 -00000000 -00008744 -00000000 -0000875e -00000000 -000017d8 -00000000 -000087f9 -00000000 -00000d42 -00000000 -00002de4 -00000000 -0000d630 -00000000 -00000000 -00000000 -00004e7b -00000000 -00000000 -00000000 -0000c8cd -00000000 -0000c062 -00000000 -00006449 -00000000 -80004f63 -ffffffff -c0001f3c -ffffffff -20003bb3 -00000000 -70002bed -00000000 -e8007c49 -ffffffff -2400a855 -00000000 -3a002450 -00000000 -fd006656 -ffffffff -02809929 -00000000 -4d40ff60 -00000000 -10a093c2 -00000000 -9890a1c1 -ffffffff -a8f8408b -ffffffff -db8caa8d -ffffffff -8c625c96 -ffffffff -526b7c28 -00000000 -282856f6 -00000000 -3aaf5710 -00000000 -ba453a81 -ffffffff -54c322fe -00000000 -9d41fcd2 -ffffffff -29458b08 -00000000 -b6c0072f -ffffffff -91100e72 -ffffffff -98df3b50 -ffffffff -3f6ad6cb -00000000 -8f82f66f -ffffffff -48b4aeb5 -00000000 -12916373 -00000000 -33ec4b1d -00000000 -323e0196 -00000000 -af5b8947 -ffffffff -e92a0000 -ffffffff -4bed0000 -00000000 -32060000 -00000000 -4ef40000 -00000000 -d2360000 -ffffffff -34800000 -00000000 -43600000 -00000000 -60e00000 -00000000 -522a0000 -00000000 -994a0000 -ffffffff -5d620000 -00000000 -cd070000 -ffffffff -4ea40000 -00000000 -bb750000 -ffffffff -709e0000 -00000000 -3ff70000 -00000000 -e7160000 -ffffffff -b03f0000 -ffffffff -5c3d0000 -00000000 -18cc0000 -00000000 -575d0000 -00000000 -7ce10000 -00000000 -6f9f0000 -00000000 -4f830000 -00000000 -244d0000 -00000000 -5b130000 -00000000 -64e70000 -00000000 -305e0000 -00000000 -61250000 -00000000 -07e80000 -00000000 -62910000 -00000000 -58f40000 -00000000 -0a090000 -00000000 -33448000 -00000000 -e2edc000 -ffffffff -00f16000 -00000000 -48f7f000 -00000000 -df889800 -ffffffff -bd23ec00 -ffffffff -86f97600 -ffffffff -80eb8b00 -ffffffff -1db6fb80 -00000000 -02352c40 -00000000 -cd26c220 -ffffffff -01b57b70 -00000000 -5d3b0cc8 -00000000 -fd1096fc -ffffffff -f570dde6 -ffffffff -7592d357 -00000000 -461647f9 -00000000 -8ccaf9c7 -ffffffff -6d5f7952 -00000000 -2ded1ce9 -00000000 -1d24e301 -00000000 -ddbaac86 -ffffffff -a9e1de16 -ffffffff -152906bf -00000000 -62730e07 -00000000 -6c57d295 -00000000 -a97b6ec1 -ffffffff -d19ef0f1 -ffffffff -56f5f0f5 -00000000 -253292d9 -00000000 -5fef058f -00000000 -598b797d -00000000 -77270aab -00000000 -b3aa8f64 -ffffffff -3d561d19 -00000000 -b5ff7d3a -ffffffff -14d58228 -00000000 -f81a963a -ffffffff -dd93740e -ffffffff -66eedfe4 -00000000 -8652af69 -ffffffff -09fcb2fe -00000000 -6409e10e -00000000 -d92f914a -ffffffff -30d0e881 -00000000 -e6370ae9 -ffffffff -f8dd0b72 -ffffffff -be5f303c -ffffffff -70c2832c -00000000 -d5810c99 -ffffffff -14933e0a -00000000 -8d376dd5 -ffffffff -cc6f1f89 -ffffffff -bddf6d64 -ffffffff -5cbf3161 -00000000 -3e7f6fb0 -00000000 -b4ffa1d0 -ffffffff -71ff6be0 -00000000 -b3ffdb0b -ffffffff -57ff5d11 -00000000 -2fff7a44 -00000000 -9fff9354 -ffffffff -3fff9949 -00000000 -7fff4e2e -00000000 -fffff719 -ffffffff -ffff15a0 -ffffffff -ffffb733 -ffffffff -ffff6aed -ffffffff -ffff6d2d -ffffffff -ffffbffb -ffffffff -ffff27b0 -ffffffff -ffff14a7 -ffffffff -ffff2f6a -ffffffff -ffff1121 -ffffffff -fffff96e -ffffffff -ffff8fbf -ffffffff -ffff2552 -ffffffff -ffff0f99 -ffffffff -ffffb68c -ffffffff -ffff13e5 -ffffffff -ffff47cd -ffffffff -ffff8364 -ffffffff -ffffd091 -ffffffff -ffff7642 -ffffffff -ffff2017 -ffffffff -ffff9738 -ffffffff -ffff5843 -ffffffff -ffff25fb -ffffffff -ffffbd94 -ffffffff -fffffe18 -ffffffff -ffff386e -ffffffff -ffff0274 -ffffffff -ffff180c -ffffffff -ffff41e5 -ffffffff -ffff275b -ffffffff -ffffae5f -ffffffff -ffffb0f9 -ffffffff -96c01a56 -ffffffff -8033d4d6 -ffffffff -602531a3 -00000000 -f54142ee -ffffffff -cd096a88 -ffffffff -f3d1efef -ffffffff -46b8883e -00000000 -00f0733f -00000000 -269e63dd -00000000 -ff034ef7 -ffffffff -0a71eb18 -00000000 -b74d2919 -ffffffff -cf1effe7 -ffffffff -4572ba18 -00000000 -ee845f67 -ffffffff -4c40f63c -00000000 -65849d02 -00000000 -e5c7d6f1 -ffffffff -64a10c03 -00000000 -cd415857 -ffffffff -ee8f16ef -ffffffff -de38e6df -ffffffff -8b9fe4bf -ffffffff -0c96f77f -00000000 -88f90aff -ffffffff -955295ff -ffffffff -5c6c23ff -00000000 -4a7a97ff -00000000 -37e0cfff -00000000 -0d771fff -00000000 -8dfc3fff -ffffffff -4e6e7fff -00000000 -998dffff -ffffffff -75eeffff -00000000 -59cfffff -00000000 -9793ffff -ffffffff -9687ffff -ffffffff -d2feffff -ffffffff -b6d7ffff -ffffffff -0929ffff -00000000 -784affff -00000000 -4f82ffff -00000000 -17b8ffff -00000000 -8b16ffff -ffffffff -39beffff -00000000 -91e4ffff -ffffffff -975affff -ffffffff -b432ffff -ffffffff -b76dffff -ffffffff -cd9cffff -ffffffff -eed7ffff -ffffffff -76b1ffff -00000000 -b398ffff -ffffffff -3c46ffff -00000000 -9b01ffff -ffffffff -5b33ffff -00000000 -2d37ffff -00000000 -bf97ffff -ffffffff -6df8ffff -00000000 -e084ffff -ffffffff -432fffff -00000000 -03b1ffff -00000000 -4b6effff -00000000 -bbe8ffff -ffffffff -2f8bffff -00000000 -41b266cc -00000000 -1d611ba9 -00000000 -c301fae6 -ffffffff -a8e63695 -ffffffff -3b6b4c82 -00000000 -02b6e717 -00000000 -cc1e4d3b -ffffffff -67deb8fe -00000000 -e94a6e80 -ffffffff -91d6c32c -ffffffff -e4a7a11f -ffffffff -1844cad7 -00000000 -2e6731f3 -00000000 -99d60a76 -ffffffff -6d2b5ac5 -00000000 -c3c453aa -ffffffff -226bae53 -00000000 -ed481862 -ffffffff -2c282874 -00000000 -25842f3d -00000000 -0995e7b4 -00000000 -cd877b69 -ffffffff -80b6af88 -ffffffff -b467a279 -ffffffff -e94ff7e7 -ffffffff -189791e4 -00000000 -671caf6a -00000000 -8b14b470 -ffffffff -18d6d508 -00000000 -50dd1690 -00000000 -3b8f58fc -00000000 -8795962e -ffffffff -e03088b4 -ffffffff -7b1be0f4 -00000000 -31b07fb2 -00000000 -1cdefa2f -00000000 -0bce6b66 -00000000 -06a179fe -00000000 -03212080 -00000000 -01e46776 -00000000 -00b01f36 -00000000 -00557f65 -00000000 -00218fc0 -00000000 -001ff2fb -00000000 -000bea7f -00000000 -00072c42 -00000000 -0003de17 -00000000 -00016be1 -00000000 -00001530 -00000000 -0000b7e0 -00000000 -0000ca71 -00000000 -0000167c -00000000 -0000ec9a -00000000 -0000fb7a -00000000 -0000f1ba -00000000 -00006352 -00000000 -0000b325 -00000000 -0000c196 -00000000 -0000b6d3 -00000000 -000079a2 -00000000 -000013f5 -00000000 -0000a111 -00000000 -0000d465 -00000000 -000067ba -00000000 -0000caa0 -00000000 -47f4d427 -00000000 -73d561ee -00000000 -36cd4671 -00000000 -1ce2d265 -00000000 -f333b612 -ffffffff -e54922dc -ffffffff -ebb9e159 -ffffffff -c12fcdc0 -ffffffff -32d1aa78 -00000000 -3dd124aa -00000000 -02e5f517 -00000000 -7b1f99ed -00000000 -83b884c9 -ffffffff -d69f70c6 -ffffffff -b1b4ba6b -ffffffff -41d81488 -00000000 -032f6111 -00000000 -9ffd92a3 -ffffffff -07bf5a11 -00000000 -f6a08bcc -ffffffff -128abb01 -00000000 -1328f678 -00000000 -5b83798c -00000000 -08fba82c -00000000 -7349236e -00000000 -21af482e -00000000 -0f7a7219 -00000000 -11b4bfbd -00000000 -ead847bc -ffffffff -9da93a78 -ffffffff -fa284b1e -ffffffff -e7a26bba -ffffffff -b45fbd08 -ffffffff -83295bea -ffffffff -6be43a81 -00000000 -63611891 -00000000 -3fdf0bf8 -00000000 -952e0711 -ffffffff -62dc028d -00000000 -c5ce011e -ffffffff -257700c7 -00000000 -a44f0073 -ffffffff -e2860034 -ffffffff -1dd3001a -00000000 -c4ff0009 -ffffffff -02cd0007 -00000000 -1e340002 -00000000 -03e00001 -00000000 -45100000 -00000000 -65bd0000 -00000000 -0d650000 -00000000 -00230000 -00000000 -d8b90000 -ffffffff -902a0000 -ffffffff -3acb0000 -00000000 -154c0000 -00000000 -a9960000 -ffffffff -3bd00000 -00000000 -c7320000 -ffffffff -30b10000 -00000000 -d4ea0000 -ffffffff -9d950000 -ffffffff -cb000000 -ffffffff -965e0000 -ffffffff -c7fd0000 -ffffffff -7443aa01 -00000000 -dab03591 -ffffffff -89016562 -ffffffff -dcf030d2 -ffffffff -b828d69f -ffffffff -2d79f38e -00000000 -1a5974bc -00000000 -6f6db918 -00000000 -08800282 -00000000 -e9e6b8b0 -ffffffff -9c23eb74 -ffffffff -f831859b -ffffffff -0e220da5 -00000000 -e41bf044 -ffffffff -85148e73 -ffffffff -b3b2fb56 -ffffffff -7d6163ad -00000000 -81b47825 -ffffffff -9bfac5f0 -ffffffff -e7782407 -ffffffff -4caed9e0 -00000000 -dbc1b5c0 -ffffffff -36c3d788 -00000000 -a2463601 -ffffffff -cf4c255c -ffffffff -49aa9e49 -00000000 -27521ac2 -00000000 -40d01755 -00000000 -a0a1120f -ffffffff -748c2f8d -00000000 -9fc76056 -ffffffff -a97cbd00 -ffffffff -1fe862a1 -00000000 -af89c478 -ffffffff -c1650715 -ffffffff -efadc2cc -ffffffff -f7fc95a6 -ffffffff -f8d3d216 -ffffffff -fc15fc9a -ffffffff -feac0c20 -ffffffff -ff5b06ae -ffffffff -ff8737c4 -ffffffff -ffcea311 -ffffffff -ffef62eb -ffffffff -fff30320 -ffffffff -fff879a6 -ffffffff -fffcd971 -ffffffff -fffe1e4e -ffffffff -ffffe3d4 -ffffffff -ffffb4c8 -ffffffff -ffff5408 -ffffffff -ffffc099 -ffffffff -ffff7ddd -ffffffff -ffff6dc3 -ffffffff -ffffd9ad -ffffffff -ffff0d45 -ffffffff -ffffcb29 -ffffffff -ffff6ed2 -ffffffff -ffff8347 -ffffffff -fffffc6c -ffffffff -ffff7886 -ffffffff -ffffe183 -ffffffff -ffff596f -ffffffff -ffffca11 -ffffffff -ffffdc17 -ffffffff -9408a85a -ffffffff -53a285d7 -00000000 -e35656df -ffffffff -041bea37 -00000000 -92673115 -ffffffff -12ea91ed -00000000 -ef8c4ec6 -ffffffff -2fb54846 -00000000 -658312e9 -00000000 -53e1042d -00000000 -bbc9b87c -ffffffff -9a599651 -ffffffff -725f7b8b -00000000 -5956a3b6 -00000000 -437c81ed -00000000 -4b43a0fb -00000000 -6c965c37 -00000000 -0e24c5b3 -00000000 -a6fa35a7 -ffffffff -c8634411 -ffffffff -be527297 -ffffffff -85bfe335 -ffffffff -af33fc7c -ffffffff -31d4fc61 -00000000 -eb69985a -ffffffff -7a32fb37 -00000000 -fbd20bd6 -ffffffff -4a106f73 -00000000 -1dcaafe0 -00000000 -52b4bd59 -00000000 -e8d7a647 -ffffffff -754fb9e9 -00000000 -ec327569 -ffffffff -ccad955d -ffffffff -1bd7d7be -00000000 -109fe046 -00000000 -090af141 -00000000 -2a71fba1 -00000000 -e5e2fc9e -ffffffff -1011fe23 -00000000 -458dff7d -00000000 -67a0ff83 -00000000 -51c5ffc7 -00000000 -8b7bffe2 -ffffffff -58fafff2 -00000000 -2c9ffffb -00000000 -2daffffc -00000000 -6a01fffe -00000000 -c5ecffff -ffffffff -99efffff -ffffffff -dae9ffff -ffffffff -3d06ffff -00000000 -280bffff -00000000 -a729ffff -ffffffff -8c8affff -ffffffff -4d88ffff -00000000 -0b54ffff -00000000 -3d48ffff -00000000 -4d98ffff -00000000 -accaffff -ffffffff -5ae6ffff -00000000 -bedcffff -ffffffff -53e8ffff -00000000 -cf84ffff -ffffffff -03ccffff -00000000 -00005ae1 -00000000 -0000392e -00000000 -0000ab72 -00000000 -0000d964 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packw-01.reference_output deleted file mode 100644 index bb5fa8083..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/packw-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -06040604 -00000000 -00000000 -00000000 -00002d39 -00000000 -0000307f -00000000 -00006fa3 -00000000 -0000d23b -00000000 -0000313a -00000000 -00003e38 -00000000 -00005ef0 -00000000 -0000ba3a -00000000 -0000abd8 -00000000 -00008cef -00000000 -0000dd47 -00000000 -00006fae -00000000 -00004260 -00000000 -000060e6 -00000000 -0000a4df -00000000 -00000000 -00000000 -0000d01e -00000000 -0000e34e -00000000 -000034d3 -00000000 -00009bf5 -00000000 -0000a217 -00000000 -000096d6 -00000000 -0000ea01 -00000000 -0000eb20 -00000000 -00008385 -00000000 -0000f99f -00000000 -00007359 -00000000 -000029c9 -00000000 -0000f63e -00000000 -000009fb -00000000 -000018a7 -00000000 -0000879f -00000000 -00001ad7 -00000000 -0000e347 -00000000 -0000cfc5 -00000000 -00006066 -00000000 -00002f4b -00000000 -00007198 -00000000 -0000b0e2 -00000000 -0000dfeb -00000000 -000036ed -00000000 -00002130 -00000000 -0000c528 -00000000 -000097cd -00000000 -0000c392 -00000000 -00002250 -00000000 -000090b1 -00000000 -800046e8 -ffffffff -4000b7ae -00000000 -20000bd9 -00000000 -7000b755 -00000000 -680020d7 -00000000 -44002833 -00000000 -06006ac9 -00000000 -7f004556 -00000000 -bd80c99d -ffffffff -4d40f3ae -00000000 -64e0a51d -00000000 -be7022fc -ffffffff -53785e07 -00000000 -d4fc37e9 -ffffffff -7f5ef0c0 -00000000 -c16d6e6e -ffffffff -61300000 -00000000 -29750000 -00000000 -b4710000 -ffffffff -3b6f0000 -00000000 -cded0000 -ffffffff -c0ad0000 -ffffffff -fec90000 -ffffffff -11eb0000 -00000000 -e8220000 -ffffffff -555f0000 -00000000 -953f0000 -ffffffff -888b0000 -ffffffff -788d0000 -00000000 -156a0000 -00000000 -60f10000 -00000000 -e48d0000 -ffffffff -713f0000 -00000000 -f4890000 -ffffffff -56e70000 -00000000 -d7f70000 -ffffffff -258e0000 -00000000 -f4b30000 -ffffffff -34b20000 -00000000 -2ec80000 -00000000 -92060000 -ffffffff -04740000 -00000000 -79610000 -00000000 -3f800000 -00000000 -08880000 -00000000 -bf650000 -ffffffff -f0e20000 -ffffffff -53280000 -00000000 -50490000 -00000000 -cbb00000 -ffffffff -89710000 -ffffffff -f68e0000 -ffffffff -1cb00000 -00000000 -0b110000 -00000000 -00580000 -00000000 -02550000 -00000000 -e5570000 -ffffffff -027c0000 -00000000 -90f70000 -ffffffff -ce1c0000 -ffffffff -67480000 -00000000 -bce00000 -ffffffff -32e80000 -00000000 -83ef0000 -ffffffff -32600000 -00000000 -e73d8000 -ffffffff -ec714000 -ffffffff -cd18a000 -ffffffff -b6a73000 -ffffffff -1ed6e800 -00000000 -d0b1ac00 -ffffffff -6e277a00 -00000000 -755d0d00 -00000000 -ed069180 -ffffffff -44bc5440 -00000000 -cc253320 -ffffffff -3224c6b0 -00000000 -47ab4bc8 -00000000 -9041be34 -ffffffff -e9117fe6 -ffffffff -88db76df -ffffffff -bd624e37 -ffffffff -58e5b9bc -00000000 -ac8bdf81 -ffffffff -09a7ed38 -00000000 -348f3aee -00000000 -1e9fb5d7 -00000000 -29bf023d -00000000 -f97fc7a5 -ffffffff -92ff8a52 -ffffffff -3dff4fc2 -00000000 -2bff43d4 -00000000 -97ffad80 -ffffffff -4fff30ba -00000000 -1fff5557 -00000000 -3fffbb91 -00000000 -7fffa1d0 -00000000 -ffffbaff -ffffffff -ffffc902 -ffffffff -ffffd875 -ffffffff -ffff4cb4 -ffffffff -ffff3d02 -ffffffff -ffffe7ad -ffffffff -ffffb010 -ffffffff -fffff1c0 -ffffffff -ffff2d4d -ffffffff -ffff7552 -ffffffff -ffffba39 -ffffffff -ffff39a4 -ffffffff -ffffc8ad -ffffffff -ffff7e48 -ffffffff -ffff02ca -ffffffff -ffff7447 -ffffffff -ffff2179 -ffffffff -ffff3321 -ffffffff -ffff640c -ffffffff -ffffe476 -ffffffff -ffffb9e3 -ffffffff -ffff6c5c -ffffffff -ffff12a3 -ffffffff -ffffdd83 -ffffffff -ffffa770 -ffffffff -ffff54cc -ffffffff -ffffaffa -ffffffff -fffff9ba -ffffffff -fffffbf9 -ffffffff -ffffd8bc -ffffffff -ffff8fce -ffffffff -ffffcf6b -ffffffff -ffff73ed -ffffffff -ffffa709 -ffffffff -ffff7142 -ffffffff -ffff7709 -ffffffff -ffff6dbe -ffffffff -ffffbfb9 -ffffffff -ffff990b -ffffffff -ffff16b4 -ffffffff -ffff509c -ffffffff -ffff3d04 -ffffffff -fffff954 -ffffffff -ffff846b -ffffffff -ffff5db5 -ffffffff -ffffa5de -ffffffff -ffffbf80 -ffffffff -ffffdcbb -ffffffff -ffff4bb3 -ffffffff -304232e0 -00000000 -ada786cd -ffffffff -666dcf7b -00000000 -ab619aa7 -ffffffff -e87577cf -ffffffff -07a2fc5f -00000000 -8c5ead3f -ffffffff -a572597f -ffffffff -19252cff -00000000 -170e3dff -00000000 -008773ff -00000000 -161417ff -00000000 -c7ba8fff -ffffffff -88a25fff -ffffffff -a991bfff -ffffffff -06c37fff -00000000 -4c17ffff -00000000 -946affff -ffffffff -4081ffff -00000000 -cad2ffff -ffffffff -948affff -ffffffff -d7e2ffff -ffffffff -8591ffff -ffffffff -a183ffff -ffffffff -31f4ffff -00000000 -35a4ffff -00000000 -32a5ffff -00000000 -5c05ffff -00000000 -de00ffff -ffffffff -0f3cffff -00000000 -2307ffff -00000000 -e408ffff -ffffffff -1525ffff -00000000 -935fffff -ffffffff -4bb2ffff -00000000 -3e6cffff -00000000 -f28fffff -ffffffff -2e7effff -00000000 -5e84ffff -00000000 -36e8ffff -00000000 -bebbffff -ffffffff -9b65ffff -ffffffff -b123ffff -ffffffff -bb0dffff -ffffffff -2172ffff -00000000 -2acbffff -00000000 -8550ffff -ffffffff -d4f7ffff -ffffffff -454dffff -00000000 -b03affff -ffffffff -a24affff -ffffffff -fd3dffff -ffffffff -8b52ffff -ffffffff -4110ffff -00000000 -f7ccffff -ffffffff -1999ffff -00000000 -de81ffff -ffffffff -e520ffff -ffffffff -ccf6ffff -ffffffff -09f0ffff -00000000 -f218ffff -ffffffff -d74bffff -ffffffff -a010ffff -ffffffff -f88dffff -ffffffff -9e9dffff -ffffffff -bb9a59ee -ffffffff -7a4da6b5 -00000000 -5fa0f67d -00000000 -1cb680ff -00000000 -609381a2 -00000000 -53e5a666 -00000000 -04388462 -00000000 -f00690a6 -ffffffff -e4a83472 -ffffffff -cedd4da8 -ffffffff -c5ba7657 -ffffffff -ebd264c4 -ffffffff -a854c57c -ffffffff -8912f50a -ffffffff -65401cc8 -00000000 -b8a1f3b7 -ffffffff -5502c374 -00000000 -d09e11cb -ffffffff -69b7799a -00000000 -9de3c554 -ffffffff -65a3b57e -00000000 -2ab52cda -00000000 -8be6e590 -ffffffff -fb8b2e75 -ffffffff -bd21a342 -ffffffff -2e45f834 -00000000 -82fc3e68 -ffffffff -2f9753de -00000000 -084eff34 -00000000 -1af1a1f7 -00000000 -801d0a18 -ffffffff -1cb65835 -00000000 -3f468922 -00000000 -da5e8d2f -ffffffff -f86ad83b -ffffffff -8a080afd -ffffffff -1a9cec95 -00000000 -096c0c5f -00000000 -1cb3f2ac -00000000 -8237fd34 -ffffffff -fbceddf8 -ffffffff -16a9d54d -00000000 -bf99819e -ffffffff -99dec7f9 -ffffffff -eec17301 -ffffffff -9dd3eeac -ffffffff -5bc3b009 -00000000 -db50fcde -ffffffff -c1f1959b -ffffffff -46326d03 -00000000 -3cdc067b -00000000 -1ad8d62e -00000000 -0e5e5dc8 -00000000 -04563b3b -00000000 -0216e498 -00000000 -014d2556 -00000000 -00ad7dda -00000000 -0042c5c2 -00000000 -0032e879 -00000000 -001eed17 -00000000 -000dbc90 -00000000 -0004f5fb -00000000 -00032689 -00000000 -00017848 -00000000 -0000a141 -00000000 -39f379f6 -00000000 -8e1cac38 -ffffffff -f8a1120e -ffffffff -62d642ef -00000000 -35b65e0d -00000000 -825673ac -ffffffff -c5964dc5 -ffffffff -694d2ece -00000000 -464e5c62 -00000000 -e0441735 -ffffffff -0777e383 -00000000 -fc6ad4d2 -ffffffff -52d755f2 -00000000 -6b161509 -00000000 -3d07c77d -00000000 -12cd1edd -00000000 -bce3b4b6 -ffffffff -6a188329 -00000000 -c096cca6 -ffffffff -0758e7ce -00000000 -e84aea76 -ffffffff -4c792942 -00000000 -49ce06ff -00000000 -09a0b2ce -00000000 -dbc4ba20 -ffffffff -214aa761 -00000000 -0443c1db -00000000 -19007d15 -00000000 -1dcd7549 -00000000 -b14d2ebc -ffffffff -5a0d943f -00000000 -8cbd8d22 -ffffffff -51c340fc -00000000 -c05b8594 -ffffffff -9ee737ea -ffffffff -b9f8dd3d -ffffffff -2348670e -00000000 -1b8beb58 -00000000 -08d6d9f4 -00000000 -fdd87a61 -ffffffff -c1ec93f6 -ffffffff -576a6008 -00000000 -852c106a -ffffffff -77bf83b3 -00000000 -64de9a1f -00000000 -f2af00af -ffffffff -b3f1f165 -ffffffff -d6816f3f -ffffffff -0358b80f -00000000 -9acb4daa -ffffffff -03722242 -00000000 -b6821aab -ffffffff -b45c0a12 -ffffffff -174f065b -00000000 -626603fd -00000000 -d2aa0191 -ffffffff -4aef00aa -00000000 -33460079 -00000000 -1cc00026 -00000000 -7d0b0014 -00000000 -65d0000f -00000000 -847e0007 -ffffffff -88530002 -ffffffff -da320001 -ffffffff -e8050000 -ffffffff -f200e126 -ffffffff -001edb10 -00000000 -096d24e9 -00000000 -c65668bd -ffffffff -fd3432e6 -ffffffff -e311735f -ffffffff -28f71570 -00000000 -a856b7fa -ffffffff -b668f24d -ffffffff -78bb0cb6 -00000000 -bdb8f8bb -ffffffff -06c94c3f -00000000 -c1e0f55f -ffffffff -a01b106f -ffffffff -f49d758e -ffffffff -fa556e3e -ffffffff -ce76cdbb -ffffffff -d2d8d0f4 -ffffffff -3293a710 -00000000 -555de26d -00000000 -8b6ce7fe -ffffffff -f2b16c9d -ffffffff -5dc6d65b -00000000 -5d2a5d9b -00000000 -7d0909e0 -00000000 -e370c4a1 -ffffffff -aa644829 -ffffffff -e5e80d1c -ffffffff -c6f0f957 -ffffffff -a5911335 -ffffffff -45d1f5e8 -00000000 -bf8570ae -ffffffff -4ebd2347 -00000000 -57f9ef2d -00000000 -f0f15a89 -ffffffff -ea821d38 -ffffffff -c18cbea9 -ffffffff -e5f5eea8 -ffffffff -b0f702b1 -ffffffff -3217b9ee -00000000 -a80cc150 -ffffffff -984fc6b9 -ffffffff -1bbcd81e -00000000 -1d76f954 -00000000 -ae62df72 -ffffffff -1475551f -00000000 -e9a56f2c -ffffffff -57700fad -00000000 -4877dd27 -00000000 -b0b3839b -ffffffff -dd0f4572 -ffffffff -e1e2bc4c -ffffffff -f4e3cc72 -ffffffff -fb86ccee -ffffffff -fdc4f5d6 -ffffffff -fec33cdf -ffffffff -ff3f3ebd -ffffffff -ffb16006 -ffffffff -ffd6ddf5 -ffffffff -ffef3192 -ffffffff -fff4e3eb -ffffffff -fffb2ab6 -ffffffff -fffc5f89 -ffffffff -fffe073d -ffffffff -ffffae6e -ffffffff -ad7db567 -ffffffff -7ee17c9b -00000000 -529c45de -00000000 -baabdc21 -ffffffff -acb50919 -ffffffff -67e46c94 -00000000 -60c0f18c -00000000 -a75841f6 -ffffffff -5bdf1176 -00000000 -e6bc678a -ffffffff -df37e2a6 -ffffffff -2829ee66 -00000000 -3a8f7233 -00000000 -b39d33ac -ffffffff -bc4175e8 -ffffffff -ad85c248 -ffffffff -7b0e849b -00000000 -d9cce01c -ffffffff -ba7befc1 -ffffffff -42c25b05 -00000000 -65f36012 -00000000 -95c06cc4 -ffffffff -4e156d0b -00000000 -ff0813a4 -ffffffff -92d59ef1 -ffffffff -09febec9 -00000000 -570d1d6d -00000000 -4e668905 -00000000 -cf748a14 -ffffffff -2eff213f -00000000 -a7148a56 -ffffffff -9b963d53 -ffffffff -5eec7302 -00000000 -b61f1ce8 -ffffffff -75c50830 -00000000 -f475ab61 -ffffffff -96c935a5 -ffffffff -a2ada6cb -ffffffff -90be9033 -ffffffff -eeb48b6b -ffffffff -a5ef8180 -ffffffff -7b542e3f -00000000 -b8b27cdc -ffffffff -53782746 -00000000 -6e1c0599 -00000000 -7296e2c6 -00000000 -94c139a2 -ffffffff -3380d06b -00000000 -61485ea2 -00000000 -18578647 -00000000 -8554c823 -ffffffff -1437edb9 -00000000 -11fdf576 -00000000 -8c66fadf -ffffffff -18b2fd7f -00000000 -450ffee4 -00000000 -aa22ff11 -ffffffff -06c2ff8b -00000000 -4bffffcd -00000000 -7f0dffe0 -00000000 -a228fff5 -ffffffff -25e6fffb -00000000 -eb43fffc -ffffffff -b683fffe -ffffffff -0f27ffff -00000000 -00000604 -00000000 -000071b6 -00000000 -0000abd8 -00000000 -0000dd49 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev.b-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev.b-01.reference_output deleted file mode 100644 index 581800011..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev.b-01.reference_output +++ /dev/null @@ -1,520 +0,0 @@ -ffffffff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -01000000 -deadbeef -02000000 -deadbeef -04000000 -deadbeef -0b000000 -deadbeef -11000000 -deadbeef -28000000 -deadbeef -5c000000 -deadbeef -8f000000 -deadbeef -74010000 -deadbeef -eb030000 -deadbeef -a5070000 -deadbeef -f50f0000 -deadbeef -121f0000 -deadbeef -ee210000 -deadbeef -26520000 -deadbeef -38d60000 -deadbeef -372c0100 -deadbeef -697e0200 -deadbeef -b7930600 -deadbeef -94200c00 -deadbeef -46ad1b00 -deadbeef -69343800 -deadbeef -4fb46600 -deadbeef -5375b800 -deadbeef -9d3e3401 -deadbeef -5180ec02 -deadbeef -bfbf4406 -deadbeef -34deff0c -deadbeef -24d0e51c -deadbeef -55e68137 -deadbeef -0a053c5a -deadbeef -9ebe6efb -deadbeef -d0f0ed38 -deadbeef -77eb4592 -deadbeef -1d1065de -deadbeef -c64325e9 -deadbeef -2fc4aef4 -deadbeef -65ed04f8 -deadbeef -4843ccfd -deadbeef -baf380fe -deadbeef -8c136dff -deadbeef -3caa96ff -deadbeef -3c62c2ff -deadbeef -be15ebff -deadbeef -845ef3ff -deadbeef -a60afaff -deadbeef -d980fdff -deadbeef -aef9feff -deadbeef -021bffff -deadbeef -a1b3ffff -deadbeef -ccdbffff -deadbeef -b4ecffff -deadbeef -8ef1ffff -deadbeef -b5faffff -deadbeef -44fdffff -deadbeef -fdfeffff -deadbeef -31ffffff -deadbeef -8dffffff -deadbeef -dfffffff -deadbeef -e3ffffff -deadbeef -f3ffffff -deadbeef -f8ffffff -deadbeef -fcffffff -deadbeef -feffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -782ccd4f -deadbeef -43708fa6 -deadbeef -6fb34d9f -deadbeef -aa242a4f -deadbeef -68f2a828 -deadbeef -a208c09a -deadbeef -e3323e0b -deadbeef -85c4af40 -deadbeef -484d25a8 -deadbeef -a6bd5933 -deadbeef -5f1fb355 -deadbeef -1e8a6890 -deadbeef -97e02907 -deadbeef -ac0d50aa -deadbeef -00c46dc1 -deadbeef -1b97d268 -deadbeef -af422278 -deadbeef -464fb1d8 -deadbeef -1b9d2dba -deadbeef -66015948 -deadbeef -23d48e8e -deadbeef -885f5483 -deadbeef -927fa18d -deadbeef -5cd346e6 -deadbeef -0a6d80bf -deadbeef -adcc40bf -deadbeef -eb18badb -deadbeef -7fa7a5cd -deadbeef -ff66a651 -deadbeef -e2acf5b8 -deadbeef -aec8491c -deadbeef -9569520f -deadbeef -ff8f4454 -deadbeef -c6571472 -deadbeef -24a78a86 -deadbeef -e895b277 -deadbeef -1063a59d -deadbeef -602316f5 -deadbeef -c0cd7ea8 -deadbeef -80f75f9c -deadbeef -008dbe30 -deadbeef -007ebd2b -deadbeef -00c47fed -deadbeef -00e868a1 -deadbeef -00901116 -deadbeef -00a021fe -deadbeef -00c0eee9 -deadbeef -0080ba9d -deadbeef -0000e1ac -deadbeef -0000d616 -deadbeef -0000f414 -deadbeef -0000b802 -deadbeef -0000f064 -deadbeef -00002041 -deadbeef -0000c029 -deadbeef -0000802a -deadbeef -0000005f -deadbeef -000000c2 -deadbeef -0000009c -deadbeef -000000c8 -deadbeef -00000070 -deadbeef -00000060 -deadbeef -00000040 -deadbeef -00000080 -deadbeef -b4f52943 -deadbeef -7920e2e0 -deadbeef -624a6f74 -deadbeef -7ceb67e6 -deadbeef -5680cc81 -deadbeef -7617428e -deadbeef -4f398875 -deadbeef -73ad60ef -deadbeef -54690bd6 -deadbeef -18dd1457 -deadbeef -63110010 -deadbeef -3817d0c8 -deadbeef -99f7181a -deadbeef -fa93d7a5 -deadbeef -896c1a30 -deadbeef -648a6f1c -deadbeef -5b97a1aa -deadbeef -1149c6f3 -deadbeef -15d651f6 -deadbeef -8be6cadc -deadbeef -fe8416c4 -deadbeef -90ce17b9 -deadbeef -968d3b03 -deadbeef -9cd615dc -deadbeef -14d0887f -deadbeef -1b28ab6e -deadbeef -a9254b1a -deadbeef -08c5ae9a -deadbeef -f6f99d19 -deadbeef -315118cd -deadbeef -0a5ea486 -deadbeef -a70f0cfe -deadbeef -baddedf3 -deadbeef -b111a208 -deadbeef -0ba7618a -deadbeef -47326040 -deadbeef -8f904310 -deadbeef -df2a55c4 -deadbeef -bf403f89 -deadbeef -7f944b05 -deadbeef -fffef67a -deadbeef -ff89208b -deadbeef -ff53dbd0 -deadbeef -ff77432d -deadbeef -ff2f79fa -deadbeef -ff5f4777 -deadbeef -ff3ffe70 -deadbeef -ff7f2c08 -deadbeef -ffff7650 -deadbeef -ffffcd54 -deadbeef -ffffc321 -deadbeef -ffff37b8 -deadbeef -ffffcf0f -deadbeef -ffffdfc8 -deadbeef -ffff3f8c -deadbeef -ffff7f22 -deadbeef -ffffff1a -deadbeef -ffffff0d -deadbeef -ffffff63 -deadbeef -ffffff17 -deadbeef -ffffff4f -deadbeef -ffffff9f -deadbeef -ffffffbf -deadbeef -ffffff7f -deadbeef -00000000 -deadbeef -00000000 -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8-01.reference_output deleted file mode 100644 index 581800011..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8-01.reference_output +++ /dev/null @@ -1,520 +0,0 @@ -ffffffff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -01000000 -deadbeef -02000000 -deadbeef -04000000 -deadbeef -0b000000 -deadbeef -11000000 -deadbeef -28000000 -deadbeef -5c000000 -deadbeef -8f000000 -deadbeef -74010000 -deadbeef -eb030000 -deadbeef -a5070000 -deadbeef -f50f0000 -deadbeef -121f0000 -deadbeef -ee210000 -deadbeef -26520000 -deadbeef -38d60000 -deadbeef -372c0100 -deadbeef -697e0200 -deadbeef -b7930600 -deadbeef -94200c00 -deadbeef -46ad1b00 -deadbeef -69343800 -deadbeef -4fb46600 -deadbeef -5375b800 -deadbeef -9d3e3401 -deadbeef -5180ec02 -deadbeef -bfbf4406 -deadbeef -34deff0c -deadbeef -24d0e51c -deadbeef -55e68137 -deadbeef -0a053c5a -deadbeef -9ebe6efb -deadbeef -d0f0ed38 -deadbeef -77eb4592 -deadbeef -1d1065de -deadbeef -c64325e9 -deadbeef -2fc4aef4 -deadbeef -65ed04f8 -deadbeef -4843ccfd -deadbeef -baf380fe -deadbeef -8c136dff -deadbeef -3caa96ff -deadbeef -3c62c2ff -deadbeef -be15ebff -deadbeef -845ef3ff -deadbeef -a60afaff -deadbeef -d980fdff -deadbeef -aef9feff -deadbeef -021bffff -deadbeef -a1b3ffff -deadbeef -ccdbffff -deadbeef -b4ecffff -deadbeef -8ef1ffff -deadbeef -b5faffff -deadbeef -44fdffff -deadbeef -fdfeffff -deadbeef -31ffffff -deadbeef -8dffffff -deadbeef -dfffffff -deadbeef -e3ffffff -deadbeef -f3ffffff -deadbeef -f8ffffff -deadbeef -fcffffff -deadbeef -feffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -782ccd4f -deadbeef -43708fa6 -deadbeef -6fb34d9f -deadbeef -aa242a4f -deadbeef -68f2a828 -deadbeef -a208c09a -deadbeef -e3323e0b -deadbeef -85c4af40 -deadbeef -484d25a8 -deadbeef -a6bd5933 -deadbeef -5f1fb355 -deadbeef -1e8a6890 -deadbeef -97e02907 -deadbeef -ac0d50aa -deadbeef -00c46dc1 -deadbeef -1b97d268 -deadbeef -af422278 -deadbeef -464fb1d8 -deadbeef -1b9d2dba -deadbeef -66015948 -deadbeef -23d48e8e -deadbeef -885f5483 -deadbeef -927fa18d -deadbeef -5cd346e6 -deadbeef -0a6d80bf -deadbeef -adcc40bf -deadbeef -eb18badb -deadbeef -7fa7a5cd -deadbeef -ff66a651 -deadbeef -e2acf5b8 -deadbeef -aec8491c -deadbeef -9569520f -deadbeef -ff8f4454 -deadbeef -c6571472 -deadbeef -24a78a86 -deadbeef -e895b277 -deadbeef -1063a59d -deadbeef -602316f5 -deadbeef -c0cd7ea8 -deadbeef -80f75f9c -deadbeef -008dbe30 -deadbeef -007ebd2b -deadbeef -00c47fed -deadbeef -00e868a1 -deadbeef -00901116 -deadbeef -00a021fe -deadbeef -00c0eee9 -deadbeef -0080ba9d -deadbeef -0000e1ac -deadbeef -0000d616 -deadbeef -0000f414 -deadbeef -0000b802 -deadbeef -0000f064 -deadbeef -00002041 -deadbeef -0000c029 -deadbeef -0000802a -deadbeef -0000005f -deadbeef -000000c2 -deadbeef -0000009c -deadbeef -000000c8 -deadbeef -00000070 -deadbeef -00000060 -deadbeef -00000040 -deadbeef -00000080 -deadbeef -b4f52943 -deadbeef -7920e2e0 -deadbeef -624a6f74 -deadbeef -7ceb67e6 -deadbeef -5680cc81 -deadbeef -7617428e -deadbeef -4f398875 -deadbeef -73ad60ef -deadbeef -54690bd6 -deadbeef -18dd1457 -deadbeef -63110010 -deadbeef -3817d0c8 -deadbeef -99f7181a -deadbeef -fa93d7a5 -deadbeef -896c1a30 -deadbeef -648a6f1c -deadbeef -5b97a1aa -deadbeef -1149c6f3 -deadbeef -15d651f6 -deadbeef -8be6cadc -deadbeef -fe8416c4 -deadbeef -90ce17b9 -deadbeef -968d3b03 -deadbeef -9cd615dc -deadbeef -14d0887f -deadbeef -1b28ab6e -deadbeef -a9254b1a -deadbeef -08c5ae9a -deadbeef -f6f99d19 -deadbeef -315118cd -deadbeef -0a5ea486 -deadbeef -a70f0cfe -deadbeef -baddedf3 -deadbeef -b111a208 -deadbeef -0ba7618a -deadbeef -47326040 -deadbeef -8f904310 -deadbeef -df2a55c4 -deadbeef -bf403f89 -deadbeef -7f944b05 -deadbeef -fffef67a -deadbeef -ff89208b -deadbeef -ff53dbd0 -deadbeef -ff77432d -deadbeef -ff2f79fa -deadbeef -ff5f4777 -deadbeef -ff3ffe70 -deadbeef -ff7f2c08 -deadbeef -ffff7650 -deadbeef -ffffcd54 -deadbeef -ffffc321 -deadbeef -ffff37b8 -deadbeef -ffffcf0f -deadbeef -ffffdfc8 -deadbeef -ffff3f8c -deadbeef -ffff7f22 -deadbeef -ffffff1a -deadbeef -ffffff0d -deadbeef -ffffff63 -deadbeef -ffffff17 -deadbeef -ffffff4f -deadbeef -ffffff9f -deadbeef -ffffffbf -deadbeef -ffffff7f -deadbeef -00000000 -deadbeef -00000000 -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8.w-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8.w-01.reference_output deleted file mode 100644 index 581800011..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rev8.w-01.reference_output +++ /dev/null @@ -1,520 +0,0 @@ -ffffffff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -01000000 -deadbeef -02000000 -deadbeef -04000000 -deadbeef -0b000000 -deadbeef -11000000 -deadbeef -28000000 -deadbeef -5c000000 -deadbeef -8f000000 -deadbeef -74010000 -deadbeef -eb030000 -deadbeef -a5070000 -deadbeef -f50f0000 -deadbeef -121f0000 -deadbeef -ee210000 -deadbeef -26520000 -deadbeef -38d60000 -deadbeef -372c0100 -deadbeef -697e0200 -deadbeef -b7930600 -deadbeef -94200c00 -deadbeef -46ad1b00 -deadbeef -69343800 -deadbeef -4fb46600 -deadbeef -5375b800 -deadbeef -9d3e3401 -deadbeef -5180ec02 -deadbeef -bfbf4406 -deadbeef -34deff0c -deadbeef -24d0e51c -deadbeef -55e68137 -deadbeef -0a053c5a -deadbeef -9ebe6efb -deadbeef -d0f0ed38 -deadbeef -77eb4592 -deadbeef -1d1065de -deadbeef -c64325e9 -deadbeef -2fc4aef4 -deadbeef -65ed04f8 -deadbeef -4843ccfd -deadbeef -baf380fe -deadbeef -8c136dff -deadbeef -3caa96ff -deadbeef -3c62c2ff -deadbeef -be15ebff -deadbeef -845ef3ff -deadbeef -a60afaff -deadbeef -d980fdff -deadbeef -aef9feff -deadbeef -021bffff -deadbeef -a1b3ffff -deadbeef -ccdbffff -deadbeef -b4ecffff -deadbeef -8ef1ffff -deadbeef -b5faffff -deadbeef -44fdffff -deadbeef -fdfeffff -deadbeef -31ffffff -deadbeef -8dffffff -deadbeef -dfffffff -deadbeef -e3ffffff -deadbeef -f3ffffff -deadbeef -f8ffffff -deadbeef -fcffffff -deadbeef -feffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -782ccd4f -deadbeef -43708fa6 -deadbeef -6fb34d9f -deadbeef -aa242a4f -deadbeef -68f2a828 -deadbeef -a208c09a -deadbeef -e3323e0b -deadbeef -85c4af40 -deadbeef -484d25a8 -deadbeef -a6bd5933 -deadbeef -5f1fb355 -deadbeef -1e8a6890 -deadbeef -97e02907 -deadbeef -ac0d50aa -deadbeef -00c46dc1 -deadbeef -1b97d268 -deadbeef -af422278 -deadbeef -464fb1d8 -deadbeef -1b9d2dba -deadbeef -66015948 -deadbeef -23d48e8e -deadbeef -885f5483 -deadbeef -927fa18d -deadbeef -5cd346e6 -deadbeef -0a6d80bf -deadbeef -adcc40bf -deadbeef -eb18badb -deadbeef -7fa7a5cd -deadbeef -ff66a651 -deadbeef -e2acf5b8 -deadbeef -aec8491c -deadbeef -9569520f -deadbeef -ff8f4454 -deadbeef -c6571472 -deadbeef -24a78a86 -deadbeef -e895b277 -deadbeef -1063a59d -deadbeef -602316f5 -deadbeef -c0cd7ea8 -deadbeef -80f75f9c -deadbeef -008dbe30 -deadbeef -007ebd2b -deadbeef -00c47fed -deadbeef -00e868a1 -deadbeef -00901116 -deadbeef -00a021fe -deadbeef -00c0eee9 -deadbeef -0080ba9d -deadbeef -0000e1ac -deadbeef -0000d616 -deadbeef -0000f414 -deadbeef -0000b802 -deadbeef -0000f064 -deadbeef -00002041 -deadbeef -0000c029 -deadbeef -0000802a -deadbeef -0000005f -deadbeef -000000c2 -deadbeef -0000009c -deadbeef -000000c8 -deadbeef -00000070 -deadbeef -00000060 -deadbeef -00000040 -deadbeef -00000080 -deadbeef -b4f52943 -deadbeef -7920e2e0 -deadbeef -624a6f74 -deadbeef -7ceb67e6 -deadbeef -5680cc81 -deadbeef -7617428e -deadbeef -4f398875 -deadbeef -73ad60ef -deadbeef -54690bd6 -deadbeef -18dd1457 -deadbeef -63110010 -deadbeef -3817d0c8 -deadbeef -99f7181a -deadbeef -fa93d7a5 -deadbeef -896c1a30 -deadbeef -648a6f1c -deadbeef -5b97a1aa -deadbeef -1149c6f3 -deadbeef -15d651f6 -deadbeef -8be6cadc -deadbeef -fe8416c4 -deadbeef -90ce17b9 -deadbeef -968d3b03 -deadbeef -9cd615dc -deadbeef -14d0887f -deadbeef -1b28ab6e -deadbeef -a9254b1a -deadbeef -08c5ae9a -deadbeef -f6f99d19 -deadbeef -315118cd -deadbeef -0a5ea486 -deadbeef -a70f0cfe -deadbeef -baddedf3 -deadbeef -b111a208 -deadbeef -0ba7618a -deadbeef -47326040 -deadbeef -8f904310 -deadbeef -df2a55c4 -deadbeef -bf403f89 -deadbeef -7f944b05 -deadbeef -fffef67a -deadbeef -ff89208b -deadbeef -ff53dbd0 -deadbeef -ff77432d -deadbeef -ff2f79fa -deadbeef -ff5f4777 -deadbeef -ff3ffe70 -deadbeef -ff7f2c08 -deadbeef -ffff7650 -deadbeef -ffffcd54 -deadbeef -ffffc321 -deadbeef -ffff37b8 -deadbeef -ffffcf0f -deadbeef -ffffdfc8 -deadbeef -ffff3f8c -deadbeef -ffff7f22 -deadbeef -ffffff1a -deadbeef -ffffff0d -deadbeef -ffffff63 -deadbeef -ffffff17 -deadbeef -ffffff4f -deadbeef -ffffff9f -deadbeef -ffffffbf -deadbeef -ffffff7f -deadbeef -00000000 -deadbeef -00000000 -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rol-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rol-01.reference_output deleted file mode 100644 index 62d256c9d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rol-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -ae106045 -ba2c7dd5 -c38671b6 -6f061d6d -2af42d39 -d2eeeb1c -2502307f -485246bf -392e6fa3 -d50bd94a -80e4d23b -88627494 -cec7313a -2405b307 -00000000 -00000000 -0b815ef0 -de81bcad -83e2ba3a -bf28804a -46e4abd8 -fd8bf412 -242d8cef -063bf2da -d8d8dd47 -811d9484 -c1f36fae -8cb7ed4f -d7704260 -666fafe7 -058160e6 -fdb7038b -d8bca4df -a4e23852 -e812dd49 -718e177d -3d32d01e -42bea775 -2037e34e -e91ede43 -874434d3 -d2039372 -875e9bf5 -e8dec4b7 -17d8a217 -eed7c61c -00000000 -00000000 -0d42ea01 -2466b460 -2de4eb20 -4256f18f -d6308385 -8533dc75 -ab72f99f -ca355a09 -4e7b7359 -22fed7db -d96429c9 -b6de8bcf -c8cdf63e -b9fbd369 -c06209fb -324c464b -644918a7 -70fd0c20 -4f63879f -755cd0bf -1f3c1ad7 -a31e74cf -3bb3e347 -300d9b71 -2bedcfc5 -cb0acb58 -7c496066 -fd5324a4 -a8552f4b -a46ee1f1 -24507198 -4bff93c1 -6656b0e2 -e3ac0343 -9929dfeb -75bd36c7 -ff6036ed -ea894c29 -93c22130 -46b7549d -a1c1c528 -7e107814 -408b97cd -57e9f6c2 -aa8dc392 -f3c9fbed -5c962250 -1078e539 -7c2890b1 -57b0d4c1 -56f646e8 -9812a134 -5710b7ae -d367d9f1 -3a810bd9 -1f8b62c2 -22feb755 -ab4a4d5c -fcd220d7 -5cbaf664 -8b082833 -d497855d -072f6ac9 -97db1001 -0e724556 -d63ef4de -3b50c99d -1baf44cf -d6cbf3ae -eab762a9 -9b90f7ca -f66fa51d -97d6aeb5 -22fccbd2 -6763735e -07da53e6 -a4b1d37e -977a061b -29b21ce0 -c065bc30 -73f89128 -edcdc668 -00000000 -00000000 -00000000 -00100000 -00000000 -00008000 -00000000 -00001000 -00000000 -00001a00 -00000000 -00000b00 -000001f8 -00000000 -00000000 -00000210 -dc000000 -00000001 -32c00000 -00000000 -00000000 -23600000 -000002b7 -00000000 -00000ad6 -00000000 -e0000000 -0000011c -00000000 -00006a88 -00000126 -40000000 -00000000 -66aa8000 -000001fa -85000000 -45200000 -00000047 -00000000 -0009b850 -00000502 -2c000000 -c0000000 -000743d7 -10000000 -00036ea4 -00000001 -d61e0000 -0000001b -a415c000 -28000000 -0002e84d -8e145180 -00000001 -00000000 -baa4f320 -0000005c -106a3000 -6173f100 -00000004 -358201f0 -00000002 -47691a00 -0000002d -000001f5 -7e14f600 -621f8000 -000011b6 -968f8000 -000090dc -0000183a -67439800 -af48f000 -0000f547 -000124a9 -409b3000 -00adf580 -64ec0000 -00003b5c -46aec000 -0058ffce -b0458000 -7fb80000 -0179fafe -14162000 -007de7bb -0e9fd4b6 -3c220000 -70000042 -dc43547b -ed4764dd -0cc80000 -b0f5ab96 -fc000051 -56f3eef3 -000052a2 -925c46cb -d3570000 -68ff3000 -035cb13f -9a29f38e -80003a55 -009c9d5a -527952a0 -9002ae8e -74980015 -002b8e7b -58f8c07a -2787590d -5801aff1 -d9dff9ef -0b3d000c -1e1304b4 -80d7e1a0 -81e46000 -55569143 -5d295544 -0532e2cc -6ea4760d -d826641f -82cc710f -0f1c6b03 -967e1787 -aa5e4262 -25b37c68 -7b97c2c5 -ffcdfc8a -89ec0b1e -fa26e6d3 -53cbebb6 -cefec3a0 -2aad38dc -db7e4151 -ec97379b -cefc0838 -101220e8 -d18242be -9d769c51 -1d7701b4 -52c1c114 -d07d3512 -4b1d5aeb -3a07011e -8b037c66 -eff263d2 -c094a538 -d7b4c529 -4e54cd67 -d97f27e1 -0220752b -708721ea -3622b5fe -48a556c0 -3256c66a -7440985d -3abf2914 -8574aaab -e32c9556 -05b95dc8 -bfd8d634 -981e50e8 -0370eeb3 -c1965d7f -dc7b3527 -864ce481 -6439c79b -1f056c3a -b35270fc -36eaa65a -3339b31e -0fc49e81 -3ef3fa13 -b6b273d6 -f8bee18e -98b0d808 -0db03d46 -b7d878e0 -02778311 -d0e816a6 -c29f693f -35f03aa9 -75cd29cc -6d85dd1c -e8c1c045 -ae889cd2 -0ffc9a65 -3d226456 -9dde40e7 -49aa3f24 -45c4c000 -4ca48165 -0d5afff2 -a7173a23 -8cd2afd4 -fb8c90bc -e0541ec0 -0ad01990 -94913463 -5b99b206 -1b1b87e6 -b576f23b -74105d07 -b696dcf1 -a70552b0 -5ffdb62e -164d5526 -93d80951 -8e4039e7 -0a53eec1 -e608fb87 -17b553b8 -064ee1f6 -8890aa66 -46fc0546 -fcb757fd -702a3a70 -c7dffcdd -25b57055 -12a97dfc -c425157c -07ccec5e -0a3aebb6 -5b4647e7 -394ec7e9 -89f2e7b5 -e203f154 -a3e6b9f6 -ab4bf5ea -c1b25384 -b4f9aed1 -6848b8a1 -491850ad -3b213b84 -f02bbbb6 -100bb6df -5cf430e4 -4b9c5fdc -8be2ed47 -2c21cc85 -dc446810 -92fd8b5a -774758d8 -deca284e -01a0f511 -ff0c1e82 -36ae1438 -1c377caa -4dd7200f -813a4235 -c920dbf6 -0c062eda -9f30809a -20f2d2ef -1ce44760 -93addfc0 -533e7288 -d72fee5d -925b5b38 -d87ca5d9 -b6d722a3 -6958cb80 -05a3bc90 -69764fea -6b436698 -4296c634 -79ef7885 -4f657bb4 -85dd354e -22ad510e -f9e502b5 -f8008b0b -bfbff17f -d093d8c4 -620fab4f -5fedccfd -65fd3425 -917fc22c -7ba59fe8 -cf7fd9f7 -f29dd3bd -8c39ffe1 -89702075 -7ff9f440 -f9829191 -dfff9e3f -fd55a76a -0ff4ee32 -e8617ffc -7ffe1aaa -e968becf -b1e3fffc -5d21b3bf -ff918e82 -c64e817f -3d72ff5b -c7fffe30 -1807ffff -6fcecd3c -fffd0e67 -b0a9615f -bffffdea -6b029c5b -050eb197 -9b7ffffc -ffffc63f -2e27c97f -bbfffffe -6dea93ef -3310afff -fff59dde -05e8c5f9 -5ffffffe -306b41a4 -7fffffe3 -ffffffec -6d4ebc72 -cfffffff -280088db -a1ffffff -f4a18738 -fffffffe -e965a01f -ffffffc6 -1b1fbf7f -759f8edf -ffffffe1 -b2e5b05e -ffffffff -c5cfffff -fffe9014 -7b427fff -fffff3e8 -ffffb661 -87b7ffff -ffffffff -c95e13d7 -fffffff2 -7b23dbff -a2037fff -ffffffd5 -ffffffff -ff40fee7 -eee8bfff -ffffffff -84481fff -fffffffb -fffff497 -c4ffffff -bfffffff -fffcc580 -fffffdd2 -50ffffff -ffff7f10 -3fffffff -ffffffff -fffc53bf -fffffb51 -1fffffff -ffffffff -fc9127ff -fffffdb2 -6fffffff -ffffffff -edc4ffff -fffcc73f -ffffffff -ffff215f -ffffffff -fffff433 -ffffffff -ff0affff -ffffffff -ffffffff -59ffffff -6dffffff -ffffffff -ffffffff -ffceffff -ffffffff -ffff67ff -ff2fffff -ffffffff -fffffeff -ffffffff -ffffbfff -ffffffff -ffffefff -ffffffff -ffffffff -ffffffff -ba04e024 -f19b3167 -34d6b7a4 -352ac375 -932d823f -fae6f67d -990da560 -3fece14a -0d12b3d8 -acd26414 -f7f9615c -e2f4ccd3 -944d3b84 -627805ec -3fa4298b -d40c7e2e -e60e906e -803472c3 -0843b361 -586589b5 -42847dd9 -5fc222fb -93100fc9 -a5932b5d -57c9ad8e -8b131f3c -d42b6086 -727429db -5ac51cc8 -6e19ce13 -c821ff0e -a755e76e -b94f0dd1 -d92912d2 -e51b186f -06188472 -10143a3c -cd7ec232 -eb695499 -79ee2aa0 -8cc8b2e7 -3da5abf4 -9aef6d25 -9b4365c5 -4f09a6eb -e2396435 -c973ae10 -b3455513 -b8ff605b -efcf4684 -3c9f0695 -d6152992 -2af6a3e6 -8cc81f27 -ef1020e0 -19da3829 -3fcd3c3c -7636f542 -dbbe2d21 -43ee84dc -1ce2bbfc -ab1f8143 -96658b96 -0d76d539 -2d2248a8 -dca56662 -dbf42364 -783d234b -671b61fe -cb60ec6b -2f0afd05 -d54cb2fa -59e2e5be -56b66ec9 -534cd79f -e0c5f866 -92290407 -9562b7aa -f233bb7e -9a227598 -b77e1f6d -34ed47cd -e52d3efe -cbaa9a66 -3d471a1e -731f8103 -6c199c2a -bcbef1fe -d4fee602 -47efa44f -756443d5 -73516217 -f0bd8048 -83303ee6 -fcdec4aa -f35a6be1 -576c2a61 -2b361303 -8026df81 -b40f6c52 -beea93b6 -fca71067 -2efa342b -15167cd6 -2dee2c68 -3b269772 -cecd1cfd -47fede8e -2615f54f -90fc6eb9 -44aac428 -38da2c6a -e4b73664 -afbb5cff -065b1708 -a30352bb -0feedb4f -a1e4250b -d062feec -1e68bb45 -b79215e7 -5d54627e -111f5fb1 -d849e2ba -a329344a -219ce086 -cf74f090 -e8dfcb72 -caa0a141 -9064dbd9 -9ddea13b -cfb4407b -86030130 -961eeac3 -59f9872c -8ce2241c -bbc6d302 -16749950 -812d8497 -83421ada -eb019c83 -1508b71c -7140b546 -fff85653 -05d9c02a -a82e99b8 -17188029 -d1a92a9e -4aa17350 -05a62962 -eefa8bf1 -c1801307 -567b2e67 -b7534800 -f90006e3 -1ec264aa -4240019a -401c3185 -35e3be80 -0159eddd -03dba000 -37888291 -00078d93 -088da5b0 -29000067 -6692a383 -29800008 -e7568473 -ce00001f -a08bcce7 -07a9d800 -00307eec -c7ecf052 -8400000d -01bfc000 -00be9e63 -0000012b -a82cb2ce -36eba200 -00000aa2 -ba9d8400 -00012920 -90ce0ed8 -00000143 -bfbd7d15 -00000015 -8ea92000 -0001c8f7 -05d78000 -0000c74f -d287e000 -00004963 -2d7751a4 -40000000 -e84207e0 -00000005 -a0000000 -02df542c -0000001d -409bf500 -001891dd -3d000000 -f8670e00 -0000000b -8f5ac000 -00000038 -7d000000 -0000a376 -61000000 -00011e7a -0000000c -793f6000 -00000001 -cd802000 -00000003 -4106a000 -000d41d9 -80000000 -c0000000 -00026687 -00000003 -80578000 -00000005 -e2ca0000 -0002de7e -00000000 -0f000000 -000000b8 -026d5000 -00000000 -00000000 -89080000 -00006aac -00000000 -20000000 -000000a1 -032d8000 -00000000 -00000000 -0000ff40 -00000000 -00064400 -00000000 -00550000 -00001e40 -00000000 -00000026 -00000000 -0000a000 -00000000 -000f0000 -00000000 -00000001 -c0000000 -00100000 -00000000 -00000000 -00040000 -00000000 -00000000 -aa01e126 -2bb9daa6 -3c054a3b -0d6476c4 -1d5d8cac -449d2e95 -2f7f3059 -43cc349a -262d69f3 -2e661d4c -e6bf7dc5 -dcdde71c -773a5e0a -b836c07f -febbed6d -64ee462d -412da702 -82f24dee -adc58065 -b0499111 -89eb74f8 -bbc97639 -36987e18 -c9a5010b -ac79fc27 -0da5f55f -7a89f732 -8f822083 -cc25f5eb -d1ce6eb1 -c7c6c668 -48bf6acd -53d8eb73 -6ecaa730 -f4279ee9 -737825d0 -38816eb0 -130e2f85 -a0e39014 -0480fc4d -4a3ddd9e -0e7fe76b -9b616b80 -d93a3b2b -e23596da -cf671675 -6e09ccd8 -05766e24 -b813c16d -144e544a -f7b19e49 -c4a1ce80 -97d9fc51 -ac248298 -f32b5017 -550d1c75 -53f9120f -f9572398 -266a11ad -24165f1a -ebd12807 -1e66c0ad -a00e15d5 -2c65c7b7 -ec542468 -e22836cf -cb88f1de -5bf552f2 -0a3e0e2a -b513ad59 -0b3074e0 -1fd79477 -6bea9987 -5bad195a -789a42dd -d506730c -e67e4d01 -58e4c453 -f72de0e1 -b306105c -ec150132 -a717606a -635ca4c6 -dc479be2 -fa311d81 -ec313bc1 -1998bafe -552c60d0 -a919eef8 -0c837dca -5a2f34ca -a3f37355 -86cf995b -2e2de59c -0e921e4e -0fad3c2c -22f1ea6e -93d93268 -51bda644 -1cdb5d77 -22b97078 -01f4aa04 -da6c2b9b -0266f133 -4d6f02fb -eeee6391 -70f33b84 -f9b640db -9adf5d60 -af2ee97d -6a29e6f9 -52ee0500 -65949f5e -f3526ae5 -5eccdda4 -c00d4845 -7d5d5658 -6a60d1f7 -66b1fe36 -18c94d55 -41f7886e -3eb9c1f7 -df0c1955 -b2c8154e -3596f5f8 -91694bd3 -328441cf -7753b136 -6e0bd737 -77b3b883 -150b56ac -e6272e38 -5d82a4e9 -0baef937 -ed9157be -e56df45d -83e25f51 -bee10f4c -d9e622a1 -233e3995 -ab2993a9 -1ed6c94f -4ec6f18c -fc6f9f6b -f6fe11d3 -83484641 -7f86ceb0 -097488bb -1042d678 -affbe66b -d55c3e71 -537fe8f9 -d6f1992c -a3dccdff -b919fffb -797e3dc5 -9fff47a9 -f476c675 -03daebd1 -fffa22c1 -1f78491f -ffd677d4 -e126ffff -cb75570d -3e01cfff -fae62c5b -91ad3f7e -0ffffe3a -10456c17 -ffff9071 -acab94bb -0097ffff -e3356cc4 -fffff9e2 -a17fffff -88ff8f8d -6113a4ff -fffe96fc -de3fffff -e7f30b53 -3ecdefb2 -7fffffe9 -c3adbfff -fff8a17a -fffffa5b -dce2417f -ff1afe08 -a14fffff -deac909f -fffffffd -a56fffff -ffda6478 -54ffffff -ffae7a4f -fffff756 -97302fff -7fffffff -caae8e74 -f7c1061f -fffffffa -fffc08d5 -6c3fffff -826b4bff -ffffffe2 -ffffff74 -34d97fff -ff27a40c -ffffffff -ffffe238 -b6bfffff -ffffffbe -c0c07fff -e3ffffff -fffff832 -ffffff1d -f373ffff -ffffe227 -46ffffff -9fffffff -ffff2059 -b1bfffff -fffffef8 -fff87345 -ffffffff -fffed06b -ffffffff -ff5ea2ff -ffffffff -23ffffff -ffffffc3 -823fffff -fffffffc -fffffff6 -dcffffff -fffffeae -dfffffff -ffffffff -fffeb7ff -ffffffff -f5ffffff -ff727fff -ffffffff -ffffffff -fffffc47 -fffffe2f -ffffffff -ffffffe6 -ffffffff -fffc1fff -ffffffff -ffffffff -fffff5ff -ffffffff -fffffeff -ffffffe7 -ffffffff -fffffff7 -ffffffff -ffffffff -ffffffff -5ae10604 -5ba2c7dd -cec7313a -2405b307 -5d003e38 -b1410cba -87f996d6 -4eab740b -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rolw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rolw-01.reference_output deleted file mode 100644 index f796fc875..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rolw-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -ae106045 -ffffffff -c38671b6 -ffffffff -2af42d39 -00000000 -2502307f -00000000 -392e6fa3 -00000000 -80e4d23b -ffffffff -cec7313a -ffffffff -5d003e38 -00000000 -0b815ef0 -00000000 -83e2ba3a -ffffffff -00000000 -00000000 -242d8cef -00000000 -d8d8dd47 -ffffffff -c1f36fae -ffffffff -d7704260 -ffffffff -058160e6 -00000000 -d8bca4df -ffffffff -e812dd49 -ffffffff -3d32d01e -00000000 -2037e34e -00000000 -874434d3 -ffffffff -875e9bf5 -ffffffff -00000000 -00000000 -87f996d6 -ffffffff -0d42ea01 -00000000 -2de4eb20 -00000000 -d6308385 -ffffffff -ab72f99f -ffffffff -4e7b7359 -00000000 -d96429c9 -ffffffff -c8cdf63e -ffffffff -c06209fb -ffffffff -644918a7 -00000000 -4f63879f -00000000 -1f3c1ad7 -00000000 -3bb3e347 -00000000 -2bedcfc5 -00000000 -7c496066 -00000000 -a8552f4b -ffffffff -24507198 -00000000 -6656b0e2 -00000000 -9929dfeb -ffffffff -ff6036ed -ffffffff -93c22130 -ffffffff -a1c1c528 -ffffffff -408b97cd -00000000 -aa8dc392 -ffffffff -5c962250 -00000000 -7c2890b1 -00000000 -56f646e8 -00000000 -5710b7ae -00000000 -3a810bd9 -00000000 -22feb755 -00000000 -fcd220d7 -ffffffff -8b082833 -ffffffff -072f6ac9 -00000000 -0e724556 -00000000 -3b50c99d -00000000 -d6cbf3ae -ffffffff -f66fa51d -ffffffff -22fcaeb5 -00000000 -0763735e -00000000 -94b1d37e -ffffffff -0065bc30 -00000000 -edcdd128 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00008000 -00000000 -00018000 -00000000 -00001800 -00000000 -0000f000 -00000000 -00013000 -00000000 -00ec0000 -00000000 -000ec000 -00000000 -00458000 -00000000 -0fb80000 -00000000 -00162000 -00000000 -0c220000 -00000000 -7000007b -00000000 -0cc80000 -00000000 -fc000096 -ffffffff -00006ef3 -00000000 -d3570000 -ffffffff -08ff3000 -00000000 -8001f38e -ffffffff -007952a0 -00000000 -7498000e -00000000 -0038c07a -00000000 -5801590d -00000000 -0b3d006f -00000000 -00d7e1a0 -00000000 -81e46003 -ffffffff -0d295544 -00000000 -d826640d -ffffffff -0f1c6b0f -00000000 -aa5e4787 -ffffffff -25b37c69 -00000000 -ffcc0b1e -ffffffff -fbcbebb6 -ffffffff -2aad38dc -00000000 -ec973791 -ffffffff -cefc08e8 -ffffffff -9d769c3e -ffffffff -1d774114 -00000000 -cb1d5aeb -ffffffff -ba07011e -ffffffff -eff263d2 -ffffffff -57b4c529 -00000000 -597f27e1 -00000000 -708721ea -00000000 -48a556c0 -00000000 -7440985d -00000000 -8574aaab -ffffffff -85b95dc8 -ffffffff -181e50e8 -00000000 -c1965d7f -ffffffff -064ce481 -00000000 -9f056c3a -ffffffff -36eaa65a -00000000 -0fc49e81 -00000000 -b6b273d6 -ffffffff -18b0d808 -00000000 -37d878e0 -00000000 -d0e816a6 -ffffffff -35f03aa9 -00000000 -ed85dd1c -ffffffff -2e889cd2 -00000000 -bd226456 -ffffffff -49aa3f24 -00000000 -4ca48165 -00000000 -a7173a23 -ffffffff -fb8c90bc -ffffffff -8ad01990 -ffffffff -5b99b206 -00000000 -3576f23b -00000000 -b696dcf1 -ffffffff -5ffdb62e -00000000 -93d80951 -ffffffff -8a53eec1 -ffffffff -17b553b8 -00000000 -0890aa66 -00000000 -7cb757fd -00000000 -47dffcdd -00000000 -92a97dfc -ffffffff -07ccec5e -00000000 -5b4647e7 -00000000 -89f2e7b5 -ffffffff -a3e6b9f6 -ffffffff -c1b25384 -ffffffff -6848b8a1 -00000000 -bb213b84 -ffffffff -100bb6df -00000000 -cb9c5fdc -ffffffff -ac21cc85 -ffffffff -12fd8b5a -00000000 -5eca284e -00000000 -7f0c1e82 -00000000 -1c377caa -00000000 -813a4235 -ffffffff -8c062eda -ffffffff -20f2d2ef -00000000 -13addfc0 -00000000 -d72fee5d -ffffffff -d87ca5d9 -ffffffff -6958cb80 -00000000 -6b4366ea -00000000 -79ef6634 -00000000 -85dd354e -ffffffff -f9ed510e -ffffffff -bfbff17f -ffffffff -e20fab4f -ffffffff -65fdccfd -00000000 -7ba59fec -00000000 -cf7fd3bd -ffffffff -8c39fff5 -ffffffff -7ff29191 -00000000 -ffff9e3f -ffffffff -e8617ffe -ffffffff -7ffebecf -00000000 -b1e3ffff -ffffffff -ffce817f -ffffffff -c7ffff5b -ffffffff -1807fffe -00000000 -fffd615f -ffffffff -bffffc5b -ffffffff -9b7fffff -ffffffff -ffffc97f -ffffffff -bbffffff -ffffffff -fff0afff -ffffffff -5ffffff9 -00000000 -7fffffe4 -00000000 -fffffff2 -ffffffff -cfffffff -ffffffff -f1ffffff -ffffffff -ffffff9f -ffffffff -ffffff7f -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -b99b3167 -ffffffff -34d6a375 -00000000 -fae6f67d -ffffffff -3fcda560 -00000000 -0d126414 -00000000 -e2f4ccdc -ffffffff -624d3b84 -00000000 -3fa429ae -00000000 -8034726e -ffffffff -186589b5 -00000000 -5e847dd9 -00000000 -93132b5d -ffffffff -57c31f3c -00000000 -d42829db -ffffffff -5ac51cc8 -00000000 -a755e76e -ffffffff -b94f0dd2 -ffffffff -c6188472 -ffffffff -cd143a3c -ffffffff -79ee2aa1 -00000000 -3da5abf7 -00000000 -9b4f6d25 -ffffffff -e239642b -ffffffff -c973ad13 -ffffffff -efcf4685 -ffffffff -3c9f0692 -00000000 -8af6a3e6 -ffffffff -ef5a3829 -ffffffff -3fcd3542 -00000000 -43ee2d21 -00000000 -0b1f8143 -00000000 -0d658b96 -00000000 -2d2248a2 -00000000 -f83d234b -ffffffff -cb60edfe -ffffffff -2f0afdfa -00000000 -56b66ec9 -00000000 -e0c5f79f -ffffffff -95610407 -ffffffff -9a33bb7e -ffffffff -b77e07cd -ffffffff -cbaa9afe -ffffffff -3d1f8103 -00000000 -7cbef1fe -00000000 -d4fee603 -ffffffff -75616217 -00000000 -f0bd804e -ffffffff -fcde6be1 -ffffffff -2b362a61 -00000000 -b40edf81 -ffffffff -bca71067 -ffffffff -2e167cd6 -00000000 -3b269772 -00000000 -cefede8e -ffffffff -263c6eb9 -00000000 -44aacc6a -00000000 -afbb5664 -ffffffff -065b170b -00000000 -a1e6db4f -ffffffff -de68bb45 -ffffffff -b792027e -ffffffff -111f5fba -00000000 -a329344e -ffffffff -cf74f090 -ffffffff -caa0a141 -ffffffff -cfb6a13b -ffffffff -861eeac3 -ffffffff -8ce2241c -ffffffff -bbf49950 -ffffffff -836d8497 -ffffffff -eb08b71c -ffffffff -71785653 -00000000 -05d9d9b8 -00000000 -1718aa9e -00000000 -4aa17352 -00000000 -c1fa8bf1 -ffffffff -b7534a67 -ffffffff -f94264aa -ffffffff -425c3185 -00000000 -35e3bedd -00000000 -03dba291 -00000000 -088da5b3 -00000000 -2992a383 -00000000 -29968473 -00000000 -ce8bcce7 -ffffffff -07a9daec -00000000 -85ecf052 -ffffffff -01bfde63 -00000000 -a82cb2ce -ffffffff -36eba202 -00000000 -ba9d8520 -ffffffff -90ce0edb -ffffffff -bfbd7d15 -ffffffff -8ea928f7 -ffffffff -05d7874f -00000000 -d287e963 -ffffffff -4d7751a4 -00000000 -e84207e5 -ffffffff -a2df542c -ffffffff -409bf51d -00000000 -3d1891dd -00000000 -f8670e0b -ffffffff -8f5ac038 -ffffffff -7d00a376 -00000000 -61011e7a -00000000 -793f600c -00000000 -cd802001 -ffffffff -4106a003 -00000000 -800d41d9 -ffffffff -c0026687 -ffffffff -80578003 -ffffffff -e2ca0005 -ffffffff -0002de7e -00000000 -0f0000b8 -00000000 -026d5000 -00000000 -89080000 -ffffffff -00006aac -00000000 -200000a1 -00000000 -032d8000 -00000000 -0000ff40 -00000000 -00064400 -00000000 -00550000 -00000000 -00001e40 -00000000 -00000026 -00000000 -0000a000 -00000000 -000f0000 -00000000 -c0000001 -ffffffff -00100000 -00000000 -00040000 -00000000 -00000000 -00000000 -aa01e126 -ffffffff -0d6476c4 -00000000 -449d2cac -00000000 -2f4c349a -00000000 -2e6d69f3 -00000000 -e6bfe71c -ffffffff -b83a5e0a -ffffffff -feae462d -ffffffff -82f24d02 -ffffffff -b5c58065 -ffffffff -bbeb74f8 -ffffffff -36987f0b -00000000 -0da5f55f -00000000 -7f822083 -00000000 -d1ce6eb1 -ffffffff -c7df6acd -ffffffff -6ed8eb73 -00000000 -f47825d0 -ffffffff -38862f85 -00000000 -a480fc4d -ffffffff -0e7fed9e -00000000 -d93b6b80 -ffffffff -e23596f5 -ffffffff -05766cd8 -00000000 -b813c04a -ffffffff -c4a19e49 -ffffffff -ac248291 -ffffffff -550d1c17 -00000000 -f957120f -ffffffff -266a5f1a -00000000 -ebd0c0ad -ffffffff -a00e15d7 -ffffffff -ec542468 -ffffffff -5b88f1de -00000000 -b5120e2a -ffffffff -0b3074e3 -00000000 -6bea995a -00000000 -d51a42dd -ffffffff -58fe4d01 -00000000 -f706105c -ffffffff -ec15006a -ffffffff -635c9be2 -00000000 -ea311d81 -ffffffff -5518bafe -00000000 -0c837dc8 -00000000 -a3ef34ca -ffffffff -2e2de59b -00000000 -0fad1e4e -00000000 -93f1ea6e -ffffffff -1cdda644 -00000000 -22b92a04 -00000000 -0266f133 -00000000 -eeee6393 -ffffffff -70f33b9b -00000000 -9adf5d6d -ffffffff -6a29e6f8 -00000000 -e5949f5e -ffffffff -c00cdda4 -ffffffff -7d60d1f7 -00000000 -18c97e36 -00000000 -3eb7886e -00000000 -b70c1955 -ffffffff -9596f5f8 -ffffffff -728441cf -00000000 -6e0bd737 -00000000 -f50b56ac -ffffffff -0baef937 -00000000 -e56df45d -ffffffff -bee10f51 -ffffffff -232622a1 -00000000 -1ed6c949 -00000000 -4ec6f18c -00000000 -f6484641 -ffffffff -097488bb -00000000 -a042d678 -ffffffff -535c3e71 -00000000 -a3dccd2c -ffffffff -b919bdc5 -ffffffff -9476c675 -ffffffff -03daebd1 -00000000 -1f784914 -00000000 -e126d70d -ffffffff -3e01cc5b -00000000 -09ad3f7e -00000000 -10456c15 -00000000 -009394bb -00000000 -e3356cc4 -ffffffff -a17f8f8d -ffffffff -6113a4fc -00000000 -de330b53 -ffffffff -7ecdefb2 -00000000 -c3ada17a -ffffffff -dce2415b -ffffffff -a14afe08 -ffffffff -deac909f -ffffffff -a56a6478 -ffffffff -54ee7a4f -00000000 -97302756 -ffffffff -4aae8e74 -00000000 -f7c1061a -ffffffff -6c3c08d5 -00000000 -826b4be2 -ffffffff -34d97f74 -00000000 -ff27a40c -ffffffff -b6bfe238 -ffffffff -c0c07fbe -ffffffff -e3fff832 -ffffffff -f373ff1d -ffffffff -46ffe227 -00000000 -9fff2059 -ffffffff -b1bffef8 -ffffffff -fff87345 -ffffffff -fffed06b -ffffffff -ff5ea2ff -ffffffff -23ffffc3 -00000000 -823ffffc -ffffffff -dcfffff6 -ffffffff -dffffeae -ffffffff -fffeb7ff -ffffffff -f5ffffff -ffffffff -ff727fff -ffffffff -fffffc47 -ffffffff -fffffe2f -ffffffff -ffffffe6 -ffffffff -fffc1fff -ffffffff -fffff5ff -ffffffff -fffffeff -ffffffff -ffffffe7 -ffffffff -fffffff7 -ffffffff -ffffffff -ffffffff -5ae10604 -00000000 -46e4abd8 -00000000 -e812dd49 -ffffffff -17d8a217 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/ror-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/ror-01.reference_output deleted file mode 100644 index b63dbc46f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/ror-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -d5ae1060 -45ba2c7d -00000000 -00000000 -2af42d39 -d2eeeb1c -2502307f -485246bf -392e6fa3 -d50bd94a -80e4d23b -88627494 -cec7313a -2405b307 -5d003e38 -b1410cba -0b815ef0 -de81bcad -83e2ba3a -bf28804a -46e4abd8 -fd8bf412 -242d8cef -063bf2da -d8d8dd47 -811d9484 -c1f36fae -8cb7ed4f -d7704260 -666fafe7 -058160e6 -fdb7038b -d8bca4df -a4e23852 -e812dd49 -718e177d -3d32d01e -42bea775 -2037e34e -e91ede43 -00000000 -00000000 -875e9bf5 -e8dec4b7 -17d8a217 -eed7c61c -87f996d6 -4eab740b -0d42ea01 -2466b460 -2de4eb20 -4256f18f -d6308385 -8533dc75 -ab72f99f -ca355a09 -4e7b7359 -22fed7db -d96429c9 -b6de8bcf -c8cdf63e -b9fbd369 -c06209fb -324c464b -644918a7 -70fd0c20 -4f63879f -755cd0bf -1f3c1ad7 -a31e74cf -3bb3e347 -300d9b71 -2bedcfc5 -cb0acb58 -7c496066 -fd5324a4 -a8552f4b -a46ee1f1 -24507198 -4bff93c1 -6656b0e2 -e3ac0343 -9929dfeb -75bd36c7 -ff6036ed -ea894c29 -93c22130 -46b7549d -a1c1c528 -7e107814 -408b97cd -57e9f6c2 -aa8dc392 -f3c9fbed -5c962250 -1078e539 -7c2890b1 -57b0d4c1 -56f646e8 -9812a134 -5710b7ae -d367d9f1 -3a810bd9 -1f8b62c2 -22feb755 -ab4a4d5c -fcd220d7 -5cbaf664 -8b082833 -d497855d -072f6ac9 -97db1001 -0e724556 -d63ef4de -3b50c99d -1baf44cf -d6cbf3ae -eab762a9 -9b90f7ca -f66fa51d -22fccbd2 -97d6aeb5 -735e07da -53e66763 -b1d37e97 -7a061ba4 -9b21ce0c -065bc302 -73719a1c -fe244a3b -00000000 -00000000 -00000400 -00000000 -00002000 -00000000 -00004000 -00000000 -00068000 -00000000 -0002c000 -00000000 -00000000 -007e0000 -00084000 -00000000 -1dc00000 -00000000 -cb000000 -00000000 -00000000 -8d800000 -00000000 -000adc00 -00000000 -0002b580 -0011ce00 -00000000 -00001aa2 -00000000 -00000000 -00004990 -00000001 -9aaa0000 -00000000 -007ea140 -011d1480 -00000000 -00000026 -e1400000 -c0000000 -00005022 -00001d0f -5f000000 -000036ea -41000000 -00000000 -0001d61e -00000000 -01ba415c -000002e8 -4d280000 -63851460 -00000000 -00000000 -baa4f320 -30000000 -005c106a -01185cfc -40000000 -2358201f -00000000 -002d4769 -1a000000 -3d800000 -007d5f85 -000011b6 -621f8000 -00002437 -25a3e000 -74398000 -000183a6 -0000f547 -af48f000 -5026cc00 -0000492a -f58064ec -000000ad -d711abb0 -0000000e -ff3ac116 -00000163 -b8000001 -79fafe7f -800001f7 -9eec5058 -9fd4b63c -2200000e -547b7000 -0042dc43 -ed4764dd -0cc80000 -0051b0f5 -ab96fc00 -00014a89 -5bcfbbcc -925c46cb -d3570000 -3fcc0000 -d72c4fda -a0000e95 -668a7ce3 -9d5a5279 -52a0009c -0056400a -ba39d260 -e7b58f8c -07a002b8 -56006bfc -49e1d643 -0033677f -e7bc2cf4 -84c12d20 -35f86807 -14381e46 -00055569 -29554405 -32e2cc5d -07dba91d -83760999 -0382cc71 -0f0f1c6b -79098a59 -f85e1ea9 -496cdf1a -1ee5f0b1 -a27b02c7 -bff37f22 -9b9b4d4f -2faedbe8 -ccefec3a -02aad38d -e6f6df90 -547b25cd -4883a33b -f020e040 -71474609 -0afa75da -4b070450 -75dc06d1 -41f4d449 -2c756baf -e81c047a -2c0df198 -bfc98f4b -025294e3 -5ed314a5 -3953359f -65fc9f84 -0881d4af -c21c87a8 -d88ad7f9 -22955b00 -c95b19a9 -d1026174 -eafca451 -15d2aaaf -8cb2555a -16e57722 -ff6358d0 -607943a0 -0dc3bace -065975ff -71ecd49f -19339205 -90e71e6e -7c15b0ea -cd49c3f0 -dbaa9968 -cce6cc78 -3f127a04 -fbcfe84c -dac9cf5b -e2fb863a -62c36020 -36c0f51a -df61e380 -09de0c46 -43a05a9b -0a7da4ff -d7c0eaa5 -d734a730 -b6177473 -a3070115 -ba227348 -3ff26996 -f489915a -7779039c -26a8fc91 -17130001 -32920594 -356bffc9 -9c5ce88e -334abf52 -ee3242f3 -81507b03 -2b406642 -5244d18c -6e66c818 -6c6e1f99 -d5dbc8ed -d041741e -da5b73c6 -9c154ac2 -7ff6d8b8 -59355499 -4f602546 -3900e79e -294fbb07 -9823ee1c -5ed54ee0 -193b87d8 -2242a999 -1bf0151a -f2dd5ff5 -c0a8e9c3 -1f7ff374 -96d5c157 -4aa5f7f3 -109455f0 -1f33b178 -28ebaed8 -6d191f9c -e53b1fa5 -27cb9ed7 -880fc552 -8f9ae7da -ad2fd7aa -06c94e12 -d3e6bb47 -a122e285 -246142b5 -ec84ee13 -c0aeeed8 -402edb7d -73d0c390 -2e717f72 -2f8bb51d -b0873217 -7111a040 -4bf62d69 -dd1d6362 -7b28a138 -0683d447 -fc307a08 -dab850e3 -70ddf2a9 -375c803c -04e908d7 -24836fda -3018bb6a -7cc20268 -83cb4bbc -73911d80 -4eb77f01 -4cf9ca22 -5cbfb976 -496d6ce3 -61f29766 -db5c8a8f -06958cb8 -005a3bc9 -9a61a5d9 -3fa9ad0d -7bde2150 -a5b18d1e -93d95eed -21774d53 -43be7940 -ad48ab54 -ff8008b0 -bbfbff17 -093d8c46 -20fab4fd -d65fd342 -55fedccf -fa245ff0 -8b1ee967 -29dd3bdc -f7fd9f7f -81d630e7 -ff8625c0 -40f98291 -917ff9f4 -f9e3ffd5 -5a76adff -c0ff4ee3 -2e8617ff -ba5a2fb3 -dfff86aa -fec78fff -f17486ce -3a0b193a -05fffe46 -ffe303d7 -2ff5bc7f -0601ffff -dbf3b34f -7b0a9615 -ffffd0e6 -29c5bbff -ffdea6b0 -c050eb19 -79b7ffff -cb89f25f -fffff18f -beefffff -f9b7aa4f -fffff59d -de3310af -fe05e8c5 -f95fffff -f8cc1ad0 -691fffff -1cbfffff -fb1b53af -cfffffff -280088db -fffffff4 -a18738a1 -807fffff -fffba596 -bf7fffff -ffc61b1f -f85d67e3 -b7ffffff -cb96c17b -fffffffe -ffffe901 -4c5cffff -fff3e87b -427fffff -1edfffff -fffed986 -fffffffc -95e13d7f -ffffffff -f27b23db -ffd5a203 -7fffffff -fffffffd -03fb9fff -fffbba2f -ffffffff -ee11207f -ffffffff -3fffffff -fffd25f1 -ffffcc58 -0bffffff -ffffffff -fff74943 -3fffffff -ffff7f10 -fffffff1 -4effffff -ffffffff -fffed447 -ffffffc9 -127fffff -ffffffff -ffdb26ff -fffffffb -713fffff -ffffffff -ffffcc73 -ffffffff -ffff215f -ffffffff -fff433ff -bfffffff -ffffffc2 -ffffffff -d67fffff -6dffffff -ffffffff -fffffcef -ffffffff -ffff67ff -ffffffff -ffffffff -ffffff2f -ffffffff -fffbffff -ffffffff -ffffbfff -ffffffff -fffbffff -ffffffff -ffffffff -4e024f19 -b3167ba0 -4ab0dd4d -35ade90d -932d823f -fae6f67d -5603fece -14a990da -62b34990 -50344acf -34fdfe58 -5738bd33 -3b846278 -05ec944d -e2e3fa42 -98bd40c7 -72c3e60e -906e8034 -10ecd856 -19626d42 -47dd95fc -222fb428 -9a5932b5 -d93100fc -8e8b131f -3c57c9ad -6727429d -bd42b608 -5ac51cc8 -6e19ce13 -b2087fc3 -a9d579db -2b94f0dd -1d92912d -51b186f0 -6188472e -e8f335fb -08c84050 -83ada552 -65e7b8aa -d23322cb -9cf696af -4966d0d9 -7166bbdb -4354f09a -6ebe2396 -15544f25 -ceb842cd -2e3fd816 -fbf3d1a1 -648f27c1 -a575854a -f6a3e68c -c81f272a -83806768 -e0a7bc40 -636f5423 -fcd3c3c7 -50fba137 -36ef8b48 -38aeff2a -c7e050c7 -b960d76d -53996658 -6622d224 -8a8dca56 -bf423647 -83d234bd -0ec6b671 -b61fecb6 -b2fa2f0a -fd05d54c -e2e5be56 -b66ec959 -c5f86653 -4cd79fe0 -1e558ade -aa48a410 -edfa6889 -d663c8ce -4ed47cdb -77e1f6d3 -a699b94b -4fbfb2ea -c6879cc7 -e040cf51 -c199c2ab -cbef1fe6 -f53fb980 -91fbe913 -55cd4588 -5dd5910f -9bc2f601 -220cc0fb -f35a6be1 -fcdec4aa -4acd84c0 -d5db0a98 -1b40f6c5 -28026df8 -ea93b6fc -a71067be -342b1516 -7cd62efa -dee2c683 -b2697722 -cfd47fed -e8ececd1 -54f90fc6 -eb92615f -368b1a91 -2ab10a0e -eed73ff9 -2dcd992b -b065b170 -8a30352b -fa1e4250 -b0feedb4 -062feec1 -e68bb45d -55189fad -e48579d7 -ba111f5f -b1d849e2 -1a8ca4d1 -28867382 -b3dd3c24 -3a37f2dc -caa0a141 -9064dbd9 -ef3ed101 -ee777a84 -03013096 -1eeac386 -167e61cb -23388907 -30216749 -950bbc6d -49783421 -ada812d8 -c831508b -71ceb019 -546fff85 -6537140b -0ba66e01 -76700aaa -1a92a9e1 -7188029d -624aa173 -5005a629 -2fc70600 -4c1fbbea -34800567 -b2e67b75 -1b8c7b09 -92abe400 -19a401c3 -18542400 -b774d78e -fa000567 -e220a440 -f6e8000d -c0001e36 -4c223696 -00676692 -a3832900 -008e7568 -47329800 -001fa08b -cce7ce00 -07eec07a -9d800003 -3c14a100 -000371fb -0be9e630 -1bfc0000 -0000012b -a82cb2ce -a236eba2 -0000000a -12920ba9 -d8400000 -0e43383b -60000005 -bfbd7d15 -00000015 -00723de3 -aa480000 -0031d3c1 -75e00000 -001258f4 -a1f80000 -5dd46910 -0000000b -17a1081f -80000000 -0000000b -7d50b280 -d4000000 -0075026f -91dd3d00 -00000018 -000bf867 -0e000000 -0000e23d -6b000000 -0000000a -3767d000 -00000001 -1e7a6100 -3f600000 -00000c79 -02000000 -00001cd8 -06a00000 -00000341 -00350766 -00000000 -00000000 -0026687c -015e0000 -0000000e -78b28000 -00000001 -0000b79f -80000000 -00000000 -00b80f00 -00000009 -b5400000 -08908000 -00000000 -000006aa -c0000000 -00000000 -0000a120 -00000000 -0cb60000 -f4000000 -0000000f -64400000 -00000000 -01540000 -00000000 -00000001 -e4000000 -00000026 -00000000 -00000000 -02800000 -00000000 -000f0000 -0000001c -00000000 -00000000 -00004000 -00004000 -00000000 -00000000 -00000000 -aa01e126 -2bb9daa6 -c054a3b0 -d6476c43 -274ba547 -57632b11 -05943cc3 -49a2f7f3 -f32e661d -4c262d69 -773779c7 -39afdf71 -782ae0db -01fddce9 -d6d64ee4 -62dfebbe -4dee412d -a70282f2 -160196c1 -264446b7 -74f8bbc9 -763989eb -4042cda6 -1f863269 -ac79fc27 -0da5f55f -27dcca3e -08820dea -097d7af4 -739bac73 -9a122fda -b371f1b1 -b736ecaa -73053d8e -9ee97378 -25d0f427 -c04c38be -14e205ba -38e40501 -203f1368 -7fe76b4a -3ddd9e0e -364e8eca -e6d85ae0 -675e2359 -6dacf671 -66e246e0 -9ccd8057 -9512ae04 -f05b4513 -c4a1ce80 -f7b19e49 -9897d9fc -51ac2482 -1c75f32b -5017550d -f9572398 -53f9120f -490597c6 -899a846b -c799b02b -7af44a01 -ede80385 -754b1971 -15091a38 -8a0db3fb -3c7796fd -54bcb2e2 -ad44eb56 -428f838a -70b3074e -01fd7947 -ad195a6b -ea99875b -b775419c -c31e2690 -34056393 -114f99f9 -8386cc18 -4173dcb7 -17606aec -150132a7 -711e6f89 -8d72931b -311d81ec -313bc1fa -afe552c6 -0d01998b -aa919eef -80c837dc -32a8fcdc -d5568bcd -6721b3e6 -56cb8b79 -0fad3c2c -0e921e4e -a9ba4f64 -c9a08bc7 -10736d75 -dd46f699 -07d2a810 -8ae5c1e0 -3da6c2b9 -b0266f13 -4535bc0b -efbbb98e -0db70f33 -b84f9b64 -7d9adf5d -60af2ee9 -01a8a79b -e54bb814 -96527d7b -cd49ab95 -30035211 -57b33769 -6586a60d -1f77d5d5 -63253555 -9ac7f8d8 -6e3eb9c1 -f741f788 -306556cb -20553b7c -96f5f891 -694bd335 -28441cf7 -753b1363 -b82f5cdd -decee20d -42d5ab39 -89cb8e05 -d760a93a -42ebbe4d -9157bee5 -6df45ded -843d320f -897d46fb -a848cf8e -65767988 -4fab2993 -a91ed6c9 -4ec6f18c -fc6f9f6b -11d38348 -4641f6fe -fe1b3ac0 -25d222ed -42d678af -fbe66b10 -f9c54dff -a3e75570 -337ff5bc -664b28f7 -e5f8f716 -e467ffed -ffd1ea7d -1db19d67 -40f6baf4 -7ffe88b0 -f507de12 -47fff59d -b75570de -126ffffc -e62c5b3e -01cffffa -b4fdf83f -fff8ea46 -110456c1 -7ffff907 -ec025fff -feb2ae52 -e3356cc4 -fffff9e2 -ffe23fe3 -e3685fff -96fc6113 -a4fffffe -fff9fcc2 -d4f78fff -ecdefb27 -fffffe93 -fe285eb0 -eb6fffff -17ffffff -a5bdce24 -08a14fff -ffff1afe -7ab2427f -fffffff7 -ffffda64 -78a56fff -fffffae7 -a4f54fff -302fffff -fff75697 -ffffffff -2aba39d1 -febdf041 -87ffffff -355b0fff -ffffff02 -fff8a09a -d2ffffff -365fffff -ffffdd0d -f27a40cf -ffffffff -38b6bfff -ffffffe2 -0301ffff -fffffefb -fffffff8 -32e3ffff -df373fff -fffffff1 -e22746ff -ffffffff -ffffffff -ff20599f -ffffffff -ef8b1bff -fffe1cd1 -7fffffff -fffed06b -ffffffff -ffffff5e -a2ffffff -ffffffff -ff0c8fff -ffffffff -fc823fff -ffdb73ff -ffffffff -ffffabb7 -ffffffff -7fffffff -ffffffeb -ff5fffff -ffffffff -ffffffff -fdc9ffff -7fffffff -ffffffc4 -ffffffe2 -ffffffff -ffffff9b -ffffffff -ffffffff -ff07ffff -f5ffffff -ffffffff -efffffff -ffffffff -ffffffff -9fffffff -ffffffff -dfffffff -ffffffff -ffffffff -5ae10604 -5ba2c7dd -c38671b6 -6f061d6d -3d32d01e -42bea775 -874434d3 -d2039372 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rori-01.reference_output deleted file mode 100644 index a5072a9c1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rori-01.reference_output +++ /dev/null @@ -1,580 +0,0 @@ -ffffffff -ffffffff -00000000 -00000000 -e9173332 -12af8f73 -1e1007e8 -bf65cc38 -e216dc58 -f45328fa -6a56ae61 -7c67895e -5abadf6f -42825412 -9b077dc6 -2a2fb226 -00000000 -00000000 -20000000 -00000000 -00000000 -0000c000 -00000000 -60000000 -f0000000 -00000000 -00000000 -00000003 -00000044 -00000000 -00000000 -01f00000 -00000000 -00000350 -0000006f -80000000 -00027600 -00000000 -00000000 -f1000000 -c8800000 -00000000 -02490000 -00000000 -005fc200 -00000000 -c0000000 -00001822 -000358c4 -00000000 -2f650000 -00000000 -00000000 -4ab42000 -001160cc -00000000 -00000000 -b93df000 -8adb8000 -00000008 -00038603 -90000000 -5bf00000 -000006ae -00000005 -21c11800 -0b9be488 -00000000 -0001cb57 -4e800000 -80000000 -03c8492e -12dd7d8a -00000000 -0d94a779 -00000000 -35ff31c0 -00000000 -4ad00000 -000459c7 -c86eeec0 -00000002 -00000271 -bfff7200 -c8000000 -1042fff7 -000000c1 -cd196e40 -40ac8040 -000003d7 -00108137 -a60e0000 -c603d000 -0003dac7 -00007add -bad0b300 -0002a96c -e5c0e400 -073dead8 -aa400000 -8c069000 -002de8a8 -000014f7 -a0d7bdb0 -fb00000d -d8ab0bce -400007d1 -d9a21930 -1e554100 -002fc18e -29e7e800 -01f527cf -48a1c0f2 -30000aab -2a4b9ae5 -c000512f -f25bc8d1 -00012790 -a880025c -598ba52a -a51cd1d4 -d2b5000f -4c3405d0 -01d78730 -57394d80 -800a6697 -401d8266 -04c66778 -66388787 -8e358041 -a8f56531 -4b3f201e -eb8053b7 -24fd306d -663c772c -58380369 -a2d78e58 -65570e86 -5009ba09 -6cdf188c -e4544fa3 -fdfd223f -248174e7 -61b339ff -6df44dcd -a6a797d7 -27c3726f -2bf8620b -2c7e01a1 -106b4676 -4ebc734d -b4b62c6d -0111b66f -c1bc0050 -7a3e1aee -1e78394f -aa6d71b0 -c784a098 -8c363f7e -9cddc811 -988c7299 -9610af0c -6becb96c -182ebdd2 -bb09c161 -626ce859 -7c7a4053 -175342f5 -4cf9bd26 -401b225e -8bbb0b94 -b60c943f -b30c3dc9 -08c0acfd -e3b7e4ed -9c8e8ff5 -92bc27a7 -de83047f -a391b604 -c85ff805 -d4beb1bf -fcc7eb77 -d91ef28e -467dffea -7b22fff7 -f1e7f862 -ffd475b5 -b6805da7 -fda04bff -fd5a2038 -fffde12a -faefc0ed -7df40f7f -ffa26cc4 -fda1d651 -387c67ff -fffeee8d -ca40c7ff -a527ffff -17b8b123 -ffee1120 -4d1f11ff -6119ffff -f6ddc74e -f10b9735 -fffff9cd -9160a9ff -ffff264c -9a6c802e -ffffff4b -fff2a824 -81cb3fff -293fffff -fb3a8d61 -febfffff -fcf01bc9 -e20e3d95 -ffffffef -e29d545b -7fffffff -a2a6dfff -ffffdbb6 -ffff6929 -4e7affff -fffc28cb -594fffff -69da8a2d -ffffffff -27003fff -fffff40f -ffffffb2 -b8af97ff -fffffffe -4892dfdf -ffffffff -fef0a5fe -fffffc02 -3bbcffff -ffffffed -c5ae0fff -00ffffff -ffff5dcf -5569ffff -ffffff3c -f6a34fff -fffffffd -07ffffff -ffffe3a7 -f3603dff -ffffffff -ffffffd7 -c047ffff -fff96f6d -ffffffff -f969bbff -ffffffff -ffffffad -44ffffff -ffffffff -ffffb964 -e8e9ffff -ffffffff -ffffffff -fffea0af -fffffeba -5fffffff -ffffff97 -7fffffff -cdffffff -fffffffe -237fffff -ffffffff -fffffee5 -ffffffff -eeffffff -ffffffff -ffffffff -ffffd0ff -ffffffff -93ffffff -ffffffff -e9ffffff -ffffffff -ffffffe3 -ffffffff -fffffe7f -ffffffff -ffffdfff -ffffffff -ffffffff -7e46b2e3 -649e6c7a -d4ec05a3 -def7e905 -210e4338 -3b47f855 -0043e3ef -511b4190 -fab60773 -7a8e81a0 -4ea17b38 -097d6c01 -b869135c -fa285a0d -8e55d12c -7a50fe14 -072621bd -aeea3485 -103f0f59 -5a4ecf42 -19e80319 -1bea8594 -13335f24 -f7406114 -ab3875cc -0401e18b -c39a019e -0d3fd7ee -8253cade -a5755880 -6c6c8b9b -338ec009 -00eeaa0b -d11cea60 -aa006ad3 -2341263d -2e828bbb -a940aa00 -8cfeea8a -bf4f0007 -048c7d40 -002eccd1 -c9ffc240 -00cb01d7 -ce1c0003 -79ce07f0 -00013716 -6adf17a4 -8a425947 -ee20000a -ecfa0000 -18486a46 -72800007 -76009ce1 -00000411 -1b4b8f0e -011102b1 -e4fd8000 -3d5feef1 -6ea00000 -46bcc000 -0041cd7c -3fdda78e -0000002b -28000001 -d9144522 -fd74106a -0000002d -9bbe0000 -000080ee -58470000 -000535a9 -0004fc3b -66fb0000 -00000272 -8a6fd000 -000001ae -9ca08c00 -00000330 -26687c00 -59be5600 -00000008 -0002274e -a1900000 -0000241d -e2ca0000 -454f2000 -000000d2 -dc000000 -000c7d37 -00000000 -00d31f34 -00000000 -bbb98800 -45824000 -00000034 -0001a02f -80000000 -00294b16 -00000000 -0004a346 -c0000000 -90000000 -000044d0 -0000002e -92d80000 -30000000 -00003a2c -fd000000 -00000102 -00000029 -acc00000 -00000000 -00000a47 -00000008 -8a800000 -08000000 -0000008b -00000000 -0006dc80 -60800000 -00000002 -00000072 -00000000 -00000000 -0001d400 -00000000 -a7000000 -00000000 -00079000 -000b0000 -00000000 -00000000 -e8000000 -30000000 -00000000 -00000000 -00000c00 -00000030 -00000000 -00000000 -00000100 -00000000 -00000000 -c7c6d0a3 -52c006ff -673976ad -220146a3 -4a53b9f8 -c2274662 -46413fc4 -8550136f -a104e66e -380a1764 -51545022 -8382f61b -10d836f1 -5fc11426 -9f9e613c -d9deac90 -0c06ed8b -c2af3282 -77dfc2f2 -7a8c8439 -74f49ea9 -2beef078 -2623f478 -2471a0e3 -524901f2 -d2e60247 -557473a3 -f0833873 -ba90bb7f -b5dd7149 -f4619e4b -249fe03b -0a7046ab -61ff912f -d43db43f -ffca96c9 -09ad2fff -5a5a48ca -a63fa4ff -f1a2adb3 -279855b3 -ffe0c1ba -476fffda -6ca22c13 -d2067fff -c0769593 -4047bad7 -fffceb4c -0a4bd153 -ffff21e9 -fffff5b9 -af1fe178 -ffff9fda -b183815f -c7fb0301 -ffffe250 -ffb5472b -3cb0cfff -7ffffec6 -9f0e4291 -dcfffffe -8f54e77c -245bda9b -cffffff8 -6f4930c9 -ffffff85 -33ffffff -ee62ecba -3857e49f -ffffff2e -ffffdaa4 -45957fff -ffffcba5 -5851cfff -bfffffff -375a3c80 -fffff892 -f52087ff -ffffffff -e7dafccc -38e7efff -ffffffdc -38235dff -ffffffa5 -ffffffe1 -09799aff -ffff4e80 -b13fffff -ffffff36 -fd4c5fff -ec71ffff -fffff8a3 -ffffa498 -f3ffffff -ffff90b4 -11ffffff -fff86143 -8fffffff -8c9d0fff -fffffffd -fffffffe -4d576fff -33ffffff -fffff8c6 -fffffbb9 -98ffffff -fe12b0ff -ffffffff -ffffffff -fffbaad7 -47ffffff -fffffc19 -ffffffff -ff90e4ff -ffffca23 -ffffffff -e2a0ffff -ffffffff -ffffffff -ffffcb33 -ffffffff -fd5a7fff -bbffffff -fffffff3 -7fffffff -ffffff02 -ff2affff -ffffffff -ffffffff -ffceffff -ff1fffff -ffffffff -ffffffff -ffffffc9 -ffffffcb -ffffffff -ffffffff -ffff8fff -fffffff7 -ffffffff -ffffffff -7fffffff -61250888 -cadb5bec -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/roriw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/roriw-01.reference_output deleted file mode 100644 index 5b6a95ecc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/roriw-01.reference_output +++ /dev/null @@ -1,572 +0,0 @@ -ffffffff -ffffffff -12af8f73 -00000000 -bf6507e8 -ffffffff -f4532858 -ffffffff -89517c67 -ffffffff -54124282 -00000000 -8bdf718a -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000010 -00000000 -20000000 -00000000 -00000004 -00000000 -00020000 -00000000 -000001c0 -00000000 -01e80000 -00000000 -00000066 -00000000 -00000072 -00000000 -00005480 -00000000 -00d20000 -00000000 -6000007b -00000000 -bec00003 -ffffffff -82000009 -ffffffff -02a82000 -00000000 -0f3f4000 -00000000 -0003c8c0 -00000000 -0035cb80 -00000000 -01e46880 -00000000 -55440009 -00000000 -400134ad -00000000 -03405d00 -00000000 -06536020 -00000000 -de100199 -ffffffff -b000f1c6 -ffffffff -e4062967 -ffffffff -6deb8130 -00000000 -706e58b0 -00000000 -874032ab -ffffffff -8a013741 -ffffffff -f22a27d3 -ffffffff -4902e9ce -00000000 -f5db7de5 -ffffffff -963f00d0 -ffffffff -d8cd36d2 -ffffffff -00111b65 -00000000 -781aee1e -00000000 -a098c784 -ffffffff -8c363f7f -ffffffff -846394cc -ffffffff -0b2e5b06 -00000000 -859bb6ce -ffffffff -bd5f1cd0 -ffffffff -f267cdf2 -ffffffff -943eb60c -ffffffff -567e8460 -00000000 -a3fb6723 -ffffffff -08ffbd06 -00000000 -7fd81321 -00000000 -d2fac6ff -ffffffff -bff9c8cf -ffffffff -9ec8bffc -ffffffff -b4fff80b -ffffffff -f6812fff -ffffffff -76ffffe0 -00000000 -fe81efff -ffffffff -3fffe3e3 -00000000 -7fffe40c -00000000 -a527ffff -ffffffff -7fffffc4 -00000000 -c467ffff -ffffffff -fff9afff -ffffffff -fe54ffff -ffffffff -fff2efff -ffffffff -fffffacf -ffffffff -e4ffffff -ffffffff -f5ffffff -ffffffff -fffd7fff -ffffffff -ffffbfff -ffffffff -ffbfffff -ffffffff -fffffeff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -bdefcb47 -ffffffff -0769c867 -00000000 -5043e3ef -00000000 -bd5b03b9 -ffffffff -4ea17b38 -00000000 -b869135c -ffffffff -87e963d2 -ffffffff -839310de -ffffffff -84207e1e -ffffffff -85941bea -ffffffff -a1af927b -ffffffff -870eb980 -ffffffff -dd8734af -ffffffff -ab1bd4ae -ffffffff -3b2e6cce -00000000 -ea61d11c -ffffffff -63dab412 -00000000 -0abbba94 -00000000 -9ed5157e -ffffffff -82463ea0 -ffffffff -f93ff84a -ffffffff -f0ce1c07 -ffffffff -17a46adf -00000000 -889651fb -ffffffff -8dd9f4d4 -ffffffff -9c2e5013 -ffffffff -1e1c3697 -00000000 -fb0563c9 -ffffffff -abfbbc5b -ffffffff -f88d799a -ffffffff -7fbb4f1c -00000000 -291148a2 -00000000 -fd74106a -ffffffff -74ddf007 -00000000 -52b08e6b -00000000 -fbfc3b66 -ffffffff -fd0728a6 -ffffffff -08cae9ca -00000000 -43f98133 -00000000 -166f9582 -00000000 -6489d3a8 -00000000 -ca241de2 -ffffffff -49153c83 -00000000 -d37dc0c7 -ffffffff -3e6801a6 -00000000 -31001777 -00000000 -688b0480 -00000000 -000d017c -00000000 -00294b16 -00000000 -8009468d -ffffffff -26848002 -00000000 -6c001749 -00000000 -e8b0c000 -ffffffff -102fd000 -00000000 -98000535 -ffffffff -291c0000 -00000000 -50000111 -00000000 -04584000 -00000000 -db900000 -ffffffff -004c1000 -00000000 -000001c8 -00000000 -1d400000 -00000000 -7000000a -00000000 -1e400000 -00000000 -00001600 -00000000 -40000007 -00000000 -00018000 -00000000 -00c00000 -00000000 -0000000c -00000000 -00100000 -00000000 -00000000 -00000000 -cc51a8d9 -ffffffff -529dc312 -00000000 -8c827f9e -ffffffff -50827332 -00000000 -51545023 -00000000 -10d836f0 -00000000 -9213fbd5 -ffffffff -bcb62f0a -ffffffff -2efbf85f -00000000 -e0f057dd -ffffffff -64c47e8c -00000000 -75249004 -00000000 -aaba39d1 -ffffffff -37521769 -00000000 -206792c9 -00000000 -17046ab6 -00000000 -d43db43f -ffffffff -504d6946 -00000000 -3a63fa4b -00000000 -13cc2ad9 -00000000 -04d1d88b -00000000 -4f4819d6 -00000000 -2023dd6a -00000000 -0a4bd153 -00000000 -c2f1de3f -ffffffff -c0af58c1 -ffffffff -c7fb0300 -ffffffff -c31cacf2 -ffffffff -85223e1c -ffffffff -77cdc54e -00000000 -62ded4de -00000000 -6f4930c9 -00000000 -97467c5d -ffffffff -1c2bf24f -00000000 -abf5222c -ffffffff -8e5d2ac2 -ffffffff -79016eb4 -00000000 -042497a9 -00000000 -f3339f6b -ffffffff -871cfdfb -ffffffff -538235da -00000000 -99ae1097 -ffffffff -4fd3a02c -00000000 -317cdbf5 -00000000 -a3ec71f8 -ffffffff -7ff4931e -00000000 -3ff21682 -00000000 -3fe1850e -00000000 -f632743f -ffffffff -5dbff935 -00000000 -18c67fff -00000000 -8fffbb99 -ffffffff -ffc2561f -ffffffff -d56bfffd -ffffffff -e0ca3fff -ffffffff -0e4ffff9 -00000000 -ffffca23 -ffffffff -ffe2a0ff -ffffffff -e599ffff -ffffffff -b4fffffa -ffffffff -ff9ddfff -ffffffff -fe04ffff -ffffffff -ffff2aff -ffffffff -9dffffff -ffffffff -ffff8fff -ffffffff -ffc9ffff -ffffffff -ffffffe5 -ffffffff -fe3fffff -ffffffff -fffffffb -ffffffff -fffffffe -ffffffff -00000000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rorw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rorw-01.reference_output deleted file mode 100644 index ddace7061..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/rorw-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -45ae1060 -00000000 -c38671b6 -ffffffff -2af42d39 -00000000 -2502307f -00000000 -392e6fa3 -00000000 -80e4d23b -ffffffff -cec7313a -ffffffff -5d003e38 -00000000 -0b815ef0 -00000000 -83e2ba3a -ffffffff -46e4abd8 -00000000 -242d8cef -00000000 -d8d8dd47 -ffffffff -c1f36fae -ffffffff -d7704260 -ffffffff -00000000 -00000000 -d8bca4df -ffffffff -e812dd49 -ffffffff -3d32d01e -00000000 -2037e34e -00000000 -874434d3 -ffffffff -875e9bf5 -ffffffff -17d8a217 -00000000 -87f996d6 -ffffffff -0d42ea01 -00000000 -2de4eb20 -00000000 -00000000 -00000000 -ab72f99f -ffffffff -4e7b7359 -00000000 -d96429c9 -ffffffff -c8cdf63e -ffffffff -c06209fb -ffffffff -644918a7 -00000000 -4f63879f -00000000 -1f3c1ad7 -00000000 -3bb3e347 -00000000 -2bedcfc5 -00000000 -7c496066 -00000000 -a8552f4b -ffffffff -24507198 -00000000 -6656b0e2 -00000000 -9929dfeb -ffffffff -ff6036ed -ffffffff -93c22130 -ffffffff -a1c1c528 -ffffffff -408b97cd -00000000 -aa8dc392 -ffffffff -5c962250 -00000000 -7c2890b1 -00000000 -56f646e8 -00000000 -5710b7ae -00000000 -3a810bd9 -00000000 -22feb755 -00000000 -fcd220d7 -ffffffff -8b082833 -ffffffff -072f6ac9 -00000000 -0e724556 -00000000 -3b50c99d -00000000 -d6cbf3ae -ffffffff -f66fa51d -ffffffff -22fcaeb5 -00000000 -735e0763 -00000000 -b1d37e94 -ffffffff -065bc300 -00000000 -73744a3b -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00008000 -00000000 -00006000 -00000000 -00018000 -00000000 -0000f000 -00000000 -00004c00 -00000000 -000000ec -00000000 -000003b0 -00000000 -00000116 -00000000 -b800000f -ffffffff -80000058 -ffffffff -2200000c -00000000 -007b7000 -00000000 -0cc80000 -00000000 -0096fc00 -00000000 -0001bbcc -00000000 -d3570000 -ffffffff -3fcc0002 -00000000 -a0007ce3 -ffffffff -52a00079 -00000000 -0039d260 -00000000 -07a0038c -00000000 -56005643 -00000000 -01bc2cf4 -00000000 -35f86800 -00000000 -00381e46 -00000000 -2955440d -00000000 -03760999 -00000000 -0f0f1c6b -00000000 -791e1ea9 -00000000 -496cdf1a -00000000 -bff302c7 -ffffffff -2faedbef -00000000 -c2aad38d -ffffffff -e47b25cd -ffffffff -f023a33b -ffffffff -70fa75da -00000000 -75dd0450 -00000000 -2c756baf -00000000 -e81c047a -ffffffff -bfc98f4b -ffffffff -5ed314a5 -00000000 -65fc9f85 -00000000 -c21c87a9 -ffffffff -22955b01 -00000000 -d1026175 -ffffffff -15d2aaae -00000000 -16e57722 -00000000 -607943a0 -00000000 -065975ff -00000000 -19339204 -00000000 -7c15b0ea -00000000 -dbaa9968 -ffffffff -3f127a04 -00000000 -dac9cf5a -ffffffff -62c36020 -00000000 -df61e380 -ffffffff -43a05a9b -00000000 -d7c0eaa4 -ffffffff -b6177473 -ffffffff -ba227348 -ffffffff -f489915a -ffffffff -26a8fc91 -00000000 -32920595 -00000000 -9c5ce88e -ffffffff -ee3242f3 -ffffffff -2b406642 -00000000 -6e66c819 -00000000 -d5dbc8ec -ffffffff -da5b73c6 -ffffffff -7ff6d8b9 -00000000 -4f602546 -00000000 -294fbb06 -00000000 -5ed54ee0 -00000000 -2242a998 -00000000 -f2dd5ff5 -ffffffff -1f7ff375 -00000000 -4aa5f7f2 -00000000 -1f33b178 -00000000 -6d191f9d -00000000 -27cb9ed6 -00000000 -8f9ae7da -ffffffff -06c94e13 -00000000 -a122e285 -ffffffff -ec84ee12 -ffffffff -402edb7c -00000000 -2e717f73 -00000000 -b0873216 -ffffffff -4bf62d68 -00000000 -7b28a139 -00000000 -fc307a09 -ffffffff -70ddf2a8 -00000000 -04e908d6 -00000000 -3018bb6a -00000000 -83cb4bbc -ffffffff -4eb77f00 -00000000 -5cbfb977 -00000000 -61f29767 -00000000 -06958cb8 -00000000 -9ba9ad0d -ffffffff -7bd98d1e -00000000 -a1774d53 -ffffffff -43be7b54 -00000000 -fbfbff17 -ffffffff -20fab4fe -00000000 -d65fdccf -ffffffff -fb1ee967 -ffffffff -f7fd3bdc -ffffffff -ffd630e7 -ffffffff -917ff291 -ffffffff -f9e3ffff -ffffffff -ee8617ff -ffffffff -dfffafb3 -ffffffff -fec78fff -ffffffff -05ffff3a -00000000 -fff5bc7f -ffffffff -8601ffff -ffffffff -ffffd615 -ffffffff -ffc5bbff -ffffffff -f9b7ffff -ffffffff -fffff25f -ffffffff -feefffff -ffffffff -fffff0af -ffffffff -f95fffff -ffffffff -f91fffff -ffffffff -fcbfffff -ffffffff -cfffffff -ffffffff -fffffff1 -ffffffff -fe7fffff -ffffffff -ff7fffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -b3167b99 -ffffffff -35a8dd4d -00000000 -fae6f67d -ffffffff -5603fcda -00000000 -50344990 -00000000 -3738bd33 -00000000 -3b84624d -00000000 -9ae3fa42 -ffffffff -726e8034 -00000000 -19626d46 -00000000 -47dd95e8 -00000000 -d93132b5 -ffffffff -3c57c31f -00000000 -bd42829d -ffffffff -5ac51cc8 -00000000 -a9d579db -ffffffff -2b94f0dd -00000000 -6188472c -00000000 -e8f33450 -ffffffff -85e7b8aa -ffffffff -dcf696af -ffffffff -4966d3db -00000000 -42be2396 -00000000 -ceb44f25 -ffffffff -7bf3d1a1 -00000000 -a48f27c1 -ffffffff -f6a3e68a -ffffffff -e0a7bd68 -ffffffff -fcd35423 -ffffffff -50fb8b48 -00000000 -c7e050c2 -ffffffff -b960d658 -ffffffff -8a22d224 -ffffffff -83d234bf -ffffffff -0edfecb6 -00000000 -fdfa2f0a -ffffffff -b66ec956 -ffffffff -c5f79fe0 -ffffffff -1e558410 -00000000 -edfa68ce -ffffffff -77e07cdb -00000000 -a6bfb2ea -ffffffff -e040cf47 -ffffffff -cbef1fe7 -ffffffff -f53fb980 -ffffffff -5dd58588 -00000000 -3bc2f601 -00000000 -fcde6be1 -ffffffff -4acd8a98 -00000000 -1b40edf8 -00000000 -a71067bc -ffffffff -7cd62e16 -00000000 -b2697723 -ffffffff -e8ecefed -ffffffff -eb9263c6 -ffffffff -2ab31a91 -00000000 -eed5992b -ffffffff -b065b170 -ffffffff -fa1e6db4 -ffffffff -e68bb45d -ffffffff -e4809fad -ffffffff -ba111f5f -ffffffff -3a8ca4d1 -00000000 -33dd3c24 -00000000 -caa0a141 -ffffffff -ef3eda84 -ffffffff -1eeac386 -00000000 -23388907 -00000000 -950bbf49 -ffffffff -497836d8 -00000000 -71ceb08b -00000000 -65371785 -00000000 -76766e01 -00000000 -718aa9e1 -00000000 -524aa173 -00000000 -2fc707ea -00000000 -34a67b75 -00000000 -92abe509 -ffffffff -185425c3 -00000000 -fb74d78e -ffffffff -f6e8a440 -ffffffff -cc223696 -ffffffff -a3832992 -ffffffff -47329968 -00000000 -cce7ce8b -ffffffff -9daec07a -ffffffff -3c14a17b -00000000 -1bfde630 -00000000 -a82cb2ce -ffffffff -0236eba2 -00000000 -d8520ba9 -ffffffff -6e43383b -00000000 -bfbd7d15 -ffffffff -aa4a3de3 -ffffffff -75e1d3c1 -00000000 -a1fa58f4 -ffffffff -5dd46913 -00000000 -97a1081f -ffffffff -7d50b28b -00000000 -d475026f -ffffffff -91dd3d18 -ffffffff -0e0bf867 -00000000 -6b00e23d -00000000 -3767d00a -00000000 -1e7a6101 -00000000 -3f600c79 -00000000 -02001cd8 -00000000 -06a00341 -00000000 -00350766 -00000000 -0026687c -00000000 -015e000e -00000000 -78b28001 -00000000 -8000b79f -ffffffff -00b80f00 -00000000 -b5400009 -ffffffff -08908000 -00000000 -c00006aa -ffffffff -0000a120 -00000000 -0cb60000 -00000000 -f400000f -ffffffff -64400000 -00000000 -01540000 -00000000 -e4000001 -ffffffff -00000026 -00000000 -02800000 -00000000 -000f0000 -00000000 -0000001c -00000000 -00004000 -00000000 -00004000 -00000000 -00000000 -00000000 -aa01e126 -ffffffff -d6476c40 -ffffffff -274b2b11 -00000000 -49a2f4c3 -00000000 -f32e6d69 -ffffffff -39aff9c7 -00000000 -782ae0e9 -00000000 -62dfeae4 -00000000 -4d0282f2 -00000000 -160196d7 -00000000 -74f8bbeb -00000000 -1fc2cda6 -00000000 -0da5f55f -00000000 -08820dfe -00000000 -739bac74 -00000000 -b371f7da -ffffffff -b736ed8e -ffffffff -25d0f478 -00000000 -14e218be -00000000 -203f1369 -00000000 -7fed9e0e -00000000 -364edae0 -00000000 -6f5e2359 -00000000 -66cd8057 -00000000 -f012ae04 -ffffffff -c4a19e49 -ffffffff -91ac2482 -ffffffff -1c17550d -00000000 -f957120f -ffffffff -899a97c6 -ffffffff -7af4302b -00000000 -75e80385 -00000000 -15091a3b -00000000 -3c7796e2 -00000000 -ad44838a -ffffffff -30b3074e -00000000 -ea995a6b -ffffffff -b7754690 -ffffffff -340563f9 -00000000 -4173dc18 -00000000 -15006aec -00000000 -8d726f89 -ffffffff -311d81ea -00000000 -afe5518b -ffffffff -80c837dc -ffffffff -32a8fbcd -00000000 -66cb8b79 -00000000 -0fad1e4e -00000000 -a9ba4fc7 -ffffffff -10737699 -00000000 -8ae4a810 -ffffffff -30266f13 -00000000 -4fbbb98e -00000000 -b9b70f33 -ffffffff -6d9adf5d -00000000 -e1a8a79b -ffffffff -96527d7b -ffffffff -30033769 -00000000 -1f77d60d -00000000 -6325f8d8 -00000000 -6e3eb788 -00000000 -306556dc -00000000 -96f5f895 -ffffffff -28441cf7 -00000000 -b82f5cdd -ffffffff -42d5ab3d -00000000 -c2ebbe4d -ffffffff -6df45de5 -00000000 -843d46fb -ffffffff -a848c988 -ffffffff -491ed6c9 -00000000 -4ec6f18c -00000000 -4641f648 -00000000 -25d222ec -00000000 -42d678a0 -00000000 -f9c54d70 -ffffffff -334b28f7 -00000000 -e466f716 -ffffffff -1db19d65 -00000000 -40f6baf4 -00000000 -4507de12 -00000000 -126d70de -00000000 -01cc5b3e -00000000 -b4fdf826 -ffffffff -510456c1 -00000000 -ec024e52 -ffffffff -e3356cc4 -ffffffff -e3685fe3 -ffffffff -a4fc6113 -ffffffff -d4f78cc2 -ffffffff -ecdefb27 -ffffffff -eb685eb0 -ffffffff -15bdce24 -00000000 -08a14afe -00000000 -7ab2427f -00000000 -78a56a64 -00000000 -a4f54ee7 -ffffffff -30275697 -00000000 -2aba39d1 -00000000 -86bdf041 -ffffffff -355b0f02 -00000000 -d2f8a09a -ffffffff -365fdd0d -00000000 -f27a40cf -ffffffff -38b6bfe2 -00000000 -0301fefb -00000000 -32e3fff8 -00000000 -df373ff1 -ffffffff -e22746ff -ffffffff -ff20599f -ffffffff -ef8b1bff -ffffffff -7ffe1cd1 -00000000 -fffed06b -ffffffff -a2ffff5e -ffffffff -ff0c8fff -ffffffff -fc823fff -ffffffff -ffdb73ff -ffffffff -ffffabb7 -ffffffff -7fffffeb -00000000 -ff5fffff -ffffffff -fdc9ffff -ffffffff -7fffffc4 -00000000 -ffffffe2 -ffffffff -ffffff9b -ffffffff -ff07ffff -ffffffff -f5ffffff -ffffffff -efffffff -ffffffff -9fffffff -ffffffff -dfffffff -ffffffff -ffffffff -ffffffff -5ae10604 -00000000 -cec7313a -ffffffff -058160e6 -00000000 -d6308385 -ffffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-01.reference_output deleted file mode 100644 index 7326a122d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -87c70ee9 -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -00000000 -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef -00000000 -deadbeef -1fffffff -deadbeef -0effdfff -deadbeef -177fefff -deadbeef -1bbff7ff -deadbeef -1ddffbff -deadbeef -1eeffdff -deadbeef -1f77feff -deadbeef -1fbbff7f -deadbeef -1fddffbf -deadbeef -1feeffdf -deadbeef -1ff77fef -deadbeef -1ffbbff7 -deadbeef -1ffddffb -deadbeef -1ffeeffd -deadbeef -1fff77fe -deadbeef -9fffbbff -deadbeef -5fffddff -deadbeef -3fffeeff -deadbeef -0ffff77f -deadbeef -17fffbbf -deadbeef -1bfffddf -deadbeef -1dfffeef -deadbeef -1effff77 -deadbeef -1f7fffbb -deadbeef -1fbfffdd -deadbeef -1fdfffee -deadbeef -9feffff7 -deadbeef -5ff7fffb -deadbeef -3ffbfffd -deadbeef -0ffdfffe -deadbeef -17feffff -deadbeef -1bff7fff -deadbeef -1dffbfff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -02004000 -deadbeef -939dab8b -deadbeef -a948bfa5 -deadbeef -994bc4a4 -deadbeef -4cd02353 -deadbeef -b731081a -deadbeef -d4fc26a7 -deadbeef -b4b54d93 -deadbeef -c31b1d2e -deadbeef -e7f87002 -deadbeef -4e6ae522 -deadbeef -0fb0ebca -deadbeef -0e02b181 -deadbeef -845823ff -deadbeef -c60e7ba1 -deadbeef -add556da -deadbeef -9a8c5240 -deadbeef -6ab9ad7d -deadbeef -37dfb743 -deadbeef -0ccf43cc -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -11002000 -deadbeef -08801000 -deadbeef -04400800 -deadbeef -02200400 -deadbeef -01100200 -deadbeef -00880100 -deadbeef -00440080 -deadbeef -00220040 -deadbeef -00110020 -deadbeef -00088010 -deadbeef -00044008 -deadbeef -00022004 -deadbeef -00011002 -deadbeef -00008801 -deadbeef -80004400 -deadbeef -40002200 -deadbeef -20001100 -deadbeef -10000880 -deadbeef -08000440 -deadbeef -04000220 -deadbeef -02000110 -deadbeef -01000088 -deadbeef -00800044 -deadbeef -00400022 -deadbeef -00200011 -deadbeef -80100008 -deadbeef -40080004 -deadbeef -20040002 -deadbeef -10020001 -deadbeef -08010000 -deadbeef -04008000 -deadbeef -1fffffff -deadbeef -1fffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp1.reference_output deleted file mode 100644 index 2ca8175ea..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -f485adf7 -ffffffff -8f0c849b -6c023547 -8476d693 -6c023547 -8222d662 -ffffffff -7ddd299d -00000000 -0dd09a3f -9c55496a -592e7107 -00000000 -d4b79a73 -93fdcab8 -acf6b0ac -4f0e7329 -e0ec1049 -ffffffff -1ff24ba6 -a38b1ba1 -00e1a410 -a38b1ba1 -4919f7f2 -00000000 -b6e6080d -ffffffff -53074732 -6fef7bd6 -bf0a72a9 -ffffffff -be28ce98 -5c74e45e -85d9f49f -f3461900 -52976c0c -00000000 -fbf9aebf -5cd2875e -56913d4c -a32d78a1 -dd2c1077 -ffffffff -22d3ef88 -00000000 -d625f8df -0c6725bc -db5f0163 -ffffffff -84cdc416 -5cd2875e -1515b62e -d5a6539a -330318ec -00000000 -d6f32892 -3d7c95f9 -1a0fcf81 -c2836a06 -f295a0ec -ffffffff -0d6a5f13 -00000000 -d6d5b6ce -26575c5d -eac4a801 -ffffffff -d0b4d87f -3d7c95f9 -b1a509ca -bd7bb672 -c1254743 -ffffffff -a8949bfc -c2f9ebc8 -964e2340 -c2f9ebc8 -1b357dbd -00000000 -e4ca8242 -ffffffff -41c6b234 -bd83b67d -cc364b53 -ffffffff -35e82812 -3d061437 -c4490a21 -3441a740 -1989c242 -00000000 -b7c1fbe3 -4652f62d -51b7c65e -b9ad09d2 -4702032a -00000000 -b8fdfcd5 -ffffffff -18496d21 -b866479b -1a71f96c -00000000 -c8ba330d -4652f62d -ee915c98 -58d5d905 -7b29328e -00000000 -cd42000a -0b2e2669 -4994cd7b -f4d1d996 -ccff6250 -ffffffff -33009daf -00000000 -0f65a0a0 -5f2a25d6 -29da7c8c -00000000 -e045af10 -0b2e2669 -81fd5f28 -f909502b -16f67124 -00000000 -690e53c9 -1011eeb4 -8007dd12 -efee114b -c2445419 -ffffffff -3dbbabe6 -00000000 -7dfda0b2 -f92aad54 -0368d028 -00000000 -8360f315 -1011eeb4 -f261884d -c35a255f -e7bb8e81 -ffffffff -68b3c823 -8f03e503 -70f7b95d -8f03e503 -5aa84464 -00000000 -a557bb9b -ffffffff -0c721591 -24a25aa0 -a6f2e585 -ffffffff -35fb2c27 -70fc1afc -121290f0 -bed9e518 -465a73bb -00000000 -aa682d57 -72745307 -13cda113 -8d8bacf8 -4c1aeb93 -00000000 -b3e5146c -ffffffff -ea532d08 -3f220927 -c7dcf96f -ffffffff -b40f585b -72745307 -d235139a -6e5e995f -6b047cd9 -00000000 -6d647b4b -cebe24d9 -f99ff86d -3141db26 -3adbbe9a -00000000 -c5244165 -ffffffff -dab51b9a -6f9ee25f -68057fe9 -00000000 -6e65877b -cebe24d9 -d295e997 -7a9113e5 -4f926244 -00000000 -6630351b -ab8534c1 -d65da8a0 -547acb3e -314f3bd2 -00000000 -ceb0c42d -ffffffff -24da1627 -7c995be6 -cf5b3fde -ffffffff -f8fd973d -ab8534c0 -e49adff7 -990c7a8f -44a79767 -00000000 -e42c1394 -01fbbe93 -5f747b0c -fe04416c -0d93704a -00000000 -f26c8fb5 -ffffffff -e49cdff7 -9af382af -c4a75b66 -ffffffff -6532e059 -01fbbe93 -52135d56 -2de37f54 -71b3d994 -00000000 -2d845d0e -74f5add5 -a3c87b65 -8b0a522a -c1e7f768 -ffffffff -3e180897 -00000000 -6a5c5e66 -ce0c8056 -d606a9e7 -ffffffff -323e2e81 -74f5add5 -c3e3c21c -d0bc9a3a -d17c8f3f -ffffffff -ab61bcf5 -69ae119a -85e2cc35 -69ae119a -c8bafd66 -ffffffff -37450299 -00000000 -341c25a0 -dd3f62c5 -4f83b1f8 -00000000 -c9a0e5c2 -9651ee65 -2dc51a2a -072cdbff -1769a200 -00000000 -5f2fe3f5 -9b11d383 -b7b9be0a -64ee2c7c -6c1ae953 -00000000 -93e516ac -ffffffff -adc99dd4 -374edbff -db978bf3 -ffffffff -23ddcde8 -9b11d383 -74bd2e93 -971acc3b -63da02a0 -00000000 -808c503c -93017690 -1ca9ad63 -6cfe896f -aef4a1dc -ffffffff -510b5e23 -00000000 -7b41ceab -971d313c -2a346498 -00000000 -0d8ab734 -93017691 -f7f576a7 -2ab7ea92 -0cb8b9c4 -00000000 -275a610d -c5d09b94 -d41d2736 -3a2f646b -3ee62baf -00000000 -c119d450 -ffffffff -07fa8737 -b5380c9b -cf3d6416 -ffffffff -fb203cdf -c5d09b93 -b287d885 -6d8e7a4d -eb149800 -ffffffff -dad49d70 -e728e41b -ce3ffa8f -e728e41b -f8f8732b -ffffffff -07078cd4 -00000000 -b507e365 -8e307d8d -25139eeb -00000000 -56d3a45b -18d71be4 -51704b2a -a57f8862 -4c467daf -00000000 -41034170 -2a5dc0a0 -f2bac320 -d5a23f5f -ef7a114c -ffffffff -1085eeb3 -00000000 -697ab4d4 -b9800962 -08c8b9ad -00000000 -160df68c -2a5dc0a0 -9b07ed10 -afb2f00c -c912d4b9 -ffffffff -917ac4e2 -3d8ec31d -a797efa4 -3d8ec31d -a654fbce -ffffffff -59ab0431 -00000000 -1bd80da6 -303509d1 -4c253759 -00000000 -a48d47b4 -c2713ce2 -5ce4e7ad -7a9113c2 -68ce0203 -00000000 -ed5b8a82 -9ea76f08 -7a6a777e -615890f7 -6e66049b -00000000 -9199fb64 -ffffffff -5f06f7ad -82ddebd3 -ecb584db -ffffffff -724b0d5c -9ea76f08 -939e1ac3 -24eeb7cf -13e41b8a -00000000 -154adada -132a7176 -f9513eaf -ecd58e89 -0f737bfc -00000000 -f08c8403 -ffffffff -9bdf9ce3 -4aef382f -3374aa52 -00000000 -3a236ba2 -132a7176 -f9da381e -374b8630 -accf4d05 -ffffffff -7ed9a3ad -cc679c3b -2de91157 -cc679c3b -efb33b72 -ffffffff -104cc48d -00000000 -fde3c55e -386c49b8 -53100059 -00000000 -2526ef01 -339863c5 -fdbc23ec -fb24d57d -ceb7c355 -ffffffff -341eba30 -d277af9d -0556869a -d277af9d -9506fc8b -ffffffff -6af90374 -00000000 -01bed3ee -0434d582 -68cf27b5 -00000000 -6378a11a -2d885063 -01be696c -3edc9bee -426fb190 -00000000 -4fede954 -b3e73cbb -f27da73b -4c18c344 -0065b336 -00000000 -ff9a4cc9 -ffffffff -19be8a6c -4122e410 -799faa36 -00000000 -872202fa -b3e73cbb -52df1cb0 -0e8b61f7 -add24918 -ffffffff -ed02acff -d2eccdf1 -bf2f1a18 -d2eccdf1 -e01d92bc -ffffffff -1fe26d43 -00000000 -52e0df3e -50ab8607 -41364ee1 -00000000 -820734c8 -2d13320e -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp2.reference_output deleted file mode 100644 index 1f178b37f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -6958846a -00000000 -7b89296c -93fdcab8 -9ddd299d -ffffffff -7dc59a3a -d2d6b877 -1dcda702 -00000000 -a749f9c5 -cf84b683 -e1d6c519 -ffffffff -08ceac39 -854a9657 -d8060aec -ffffffff -53e8eb43 -137a9777 -b60a1844 -ffffffff -ff1e5bef -5c74e45e -56e6080d -00000000 -6b9f15c4 -dc338383 -40d5c674 -00000000 -9a6ab329 -5ae6a228 -ecb3e599 -ffffffff -acca7f0d -432779ee -9103b02d -ffffffff -2cb7362c -af949e5e -90448384 -ffffffff -a96ec2b3 -5cd2875e -c2d3ef88 -ffffffff -708cc1b6 -9d02fc90 -529d1d96 -00000000 -0b54aa22 -953b00b0 -6ff4bec5 -00000000 -3c53d0e3 -224c0601 -35ca12a9 -00000000 -f0e58650 -e8dac663 -de6947ff -ffffffff -e5f0307e -3d7c95f9 -ed6a5f13 -ffffffff -aaac3142 -8c8a18b2 -9c5074e1 -ffffffff -6f9fb997 -785036de -cd4913a6 -ffffffff -a7298c66 -95a4d257 -bab2cb5e -ffffffff -d814d575 -807da245 -c5efc501 -ffffffff -69b1dcbf -3d061437 -04ca8242 -00000000 -08208d09 -7f216822 -305612b3 -00000000 -dae98554 -14b91c79 -d3bdd51a -ffffffff -c6880007 -c5ec6148 -175de1a2 -00000000 -6a013380 -7213516d -41743e97 -00000000 -ae4839a1 -4652f62d -58fdfcd5 -00000000 -9e044706 -85986adb -0f3d27ef -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-01.reference_output deleted file mode 100644 index 9c038c789..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -18d2a4a9 -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -00000000 -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -003fffff -deadbeef -00000000 -deadbeef -003fffff -deadbeef -003fffff -deadbeef -001fafff -deadbeef -002fd7ff -deadbeef -0037ebff -deadbeef -003bf5ff -deadbeef -003dfaff -deadbeef -003efd7f -deadbeef -003f7ebf -deadbeef -003fbf5f -deadbeef -003fdfaf -deadbeef -003fefd7 -deadbeef -003ff7eb -deadbeef -003ffbf5 -deadbeef -003ffdfa -deadbeef -803ffefd -deadbeef -403fff7e -deadbeef -a03fffbf -deadbeef -503fffdf -deadbeef -283fffef -deadbeef -143ffff7 -deadbeef -0a3ffffb -deadbeef -053ffffd -deadbeef -02bffffe -deadbeef -017fffff -deadbeef -009fffff -deadbeef -006fffff -deadbeef -0017ffff -deadbeef -002bffff -deadbeef -0035ffff -deadbeef -003affff -deadbeef -003d7fff -deadbeef -003ebfff -deadbeef -003f5fff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -0000a000 -deadbeef -48cd3135 -deadbeef -41c09451 -deadbeef -b10a70f6 -deadbeef -557e7c6c -deadbeef -0b6b329a -deadbeef -95116065 -deadbeef -2df24187 -deadbeef -c4f43ceb -deadbeef -d6e1d9a0 -deadbeef -4d2ba948 -deadbeef -5fe2d4ab -deadbeef -95da2369 -deadbeef -beeb6b90 -deadbeef -18f30fdb -deadbeef -277e7afe -deadbeef -f1d7bde8 -deadbeef -ac937b9a -deadbeef -748e7afc -deadbeef -9a2a2f54 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00205000 -deadbeef -00102800 -deadbeef -00081400 -deadbeef -00040a00 -deadbeef -00020500 -deadbeef -00010280 -deadbeef -00008140 -deadbeef -000040a0 -deadbeef -00002050 -deadbeef -00001028 -deadbeef -00000814 -deadbeef -0000040a -deadbeef -00000205 -deadbeef -80000102 -deadbeef -40000081 -deadbeef -a0000040 -deadbeef -50000020 -deadbeef -28000010 -deadbeef -14000008 -deadbeef -0a000004 -deadbeef -05000002 -deadbeef -02800001 -deadbeef -01400000 -deadbeef -00a00000 -deadbeef -00500000 -deadbeef -00280000 -deadbeef -00140000 -deadbeef -000a0000 -deadbeef -00050000 -deadbeef -00028000 -deadbeef -00014000 -deadbeef -003fffff -deadbeef -003fffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp1.reference_output deleted file mode 100644 index 98bfc93f2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -e7d27a48 -ffffffff -9c5b5324 -6c023547 -8476d693 -6c023547 -b1baaf00 -ffffffff -4e4550ff -00000000 -0dd09a3f -9c55496a -5e5b1374 -00000000 -d9e43ce0 -93fdcab8 -acf6b0ac -4f0e7329 -8e68fe49 -ffffffff -7176a5a6 -a38b1ba1 -00e1a410 -a38b1ba1 -e68a3805 -ffffffff -1975c7fa -00000000 -53074732 -6fef7bd6 -4b6ba232 -00000000 -4a89fe21 -5c74e45f -85d9f49f -f3461900 -c4fd442a -ffffffff -6d938699 -a32d78a1 -56913d4c -a32d78a1 -b91a05d5 -ffffffff -46e5fa2a -00000000 -d625f8df -0c6725bc -434198a8 -00000000 -ecb05b5b -5cd2875e -1515b62e -d5a6539a -6dd78d45 -00000000 -8827bd3b -3d7c95f9 -1a0fcf81 -c2836a06 -1e362db5 -00000000 -e1c9d24a -ffffffff -d6d5b6ce -26575c5d -6d8b04dd -00000000 -537b355b -3d7c95fa -b1a509ca -bd7bb672 -25f067a4 -00000000 -4c41bb1b -3d061437 -964e2340 -c2f9ebc8 -d5edca66 -ffffffff -2a123599 -00000000 -41c6b234 -bd83b67d -8f4cd977 -ffffffff -f8feb636 -3d061436 -c4490a21 -3441a740 -a465c8ef -ffffffff -0a2df14e -b9ad09d2 -51b7c65e -b9ad09d2 -1bf08f1c -00000000 -e40f70e3 -ffffffff -18496d21 -b866479b -9b32bd76 -ffffffff -497af717 -4652f62d -ee915c98 -58d5d905 -85e4cecd -ffffffff -338ffc49 -f4d1d996 -4994cd7b -f4d1d996 -ff00a8cb -ffffffff -00ff5734 -00000000 -0f65a0a0 -5f2a25d6 -6447df36 -00000000 -1ab311ba -0b2e266a -81fd5f28 -f909502b -04512f96 -00000000 -7ba90d7b -1011eeb4 -8007dd12 -efee114b -150b11f4 -00000000 -eaf4ee0b -ffffffff -7dfda0b2 -f92aad54 -64500e29 -00000000 -e4483116 -1011eeb4 -f261884d -c35a255f -f513df1e -ffffffff -7a1b99bc -8f03e503 -70f7b95d -8f03e503 -2b992b8b -00000000 -d466d474 -ffffffff -0c721591 -24a25aa0 -4879bb32 -00000000 -d78201d4 -70fc1afc -121290f0 -bed9e518 -1a628fef -00000000 -f650d103 -72745307 -13cda113 -8d8bacf8 -64af18f7 -00000000 -9b50e708 -ffffffff -ea532d08 -3f220927 -f31ffca8 -ffffffff -df525b94 -72745307 -d235139a -6e5e995f -2b8abe18 -00000000 -2deab98a -cebe24d9 -f99ff86d -3141db26 -03052402 -00000000 -fcfadbfd -ffffffff -dab51b9a -6f9ee25f -2e889b4a -00000000 -34e8a2dc -cebe24d9 -d295e997 -7a9113e5 -49cdb662 -00000000 -606fe13d -ab8534c1 -d65da8a0 -547acb3e -6171e68f -00000000 -9e8e1970 -ffffffff -24da1627 -7c995be6 -49de4073 -00000000 -738097d2 -ab8534c1 -e49adff7 -990c7a8f -343c2869 -00000000 -94b7ac9a -01fbbe93 -5f747b0c -fe04416c -b2f0794a -ffffffff -4d0f86b5 -00000000 -e49cdff7 -9af382af -f43c29ea -ffffffff -94c7aedd -01fbbe93 -52135d56 -2de37f54 -c515679c -ffffffff -9922e306 -8b0a522a -a3c87b65 -8b0a522a -32f69783 -00000000 -cd09687c -ffffffff -6a5c5e66 -ce0c8056 -a4e56f72 -ffffffff -011cf40c -74f5add5 -c3e3c21c -d0bc9a3a -997d017d -ffffffff -e36032b7 -69ae119a -85e2cc35 -69ae119a -3fbd0afe -00000000 -c042f501 -ffffffff -341c25a0 -dd3f62c5 -96691b84 -ffffffff -10864f4e -9651ee65 -2dc51a2a -072cdbff -2e5b221c -00000000 -661d63e9 -9b11d383 -b7b9be0a -64ee2c7c -e8e9e344 -ffffffff -17161cbb -00000000 -adc99dd4 -374edbff -fd7bb13a -ffffffff -45c1f32f -9b11d383 -74bd2e93 -971acc3b -3286fb82 -00000000 -d1d0a91e -93017690 -1ca9ad63 -6cfe896f -e31ac7aa -ffffffff -1ce53855 -00000000 -7b41ceab -971d313c -de9e02bb -ffffffff -c1f45557 -93017690 -f7f576a7 -2ab7ea92 -15baf859 -00000000 -3e582090 -c5d09b94 -d41d2736 -3a2f646b -3748b7c4 -00000000 -c8b7483b -ffffffff -07fa8737 -b5380c9b -137c9da3 -00000000 -3f5f766c -c5d09b94 -b287d885 -6d8e7a4d -177ecee5 -00000000 -26becb95 -18d71be4 -ce3ffa8f -e728e41b -02259126 -00000000 -fdda6ed9 -ffffffff -b507e365 -8e307d8d -0df32ddb -00000000 -3fb3334b -18d71be4 -51704b2a -a57f8862 -2ce43e84 -00000000 -21a1025b -2a5dc0a0 -f2bac320 -d5a23f5f -39c8c9ba -00000000 -c6373645 -ffffffff -697ab4d4 -b9800962 -0ceae73f -00000000 -1a30241e -2a5dc0a0 -9b07ed10 -afb2f00c -0b0c9f18 -00000000 -53648f43 -c2713ce2 -a797efa4 -3d8ec31d -0a0f22c2 -00000000 -f5f0dd3d -ffffffff -1bd80da6 -303509d1 -07613894 -00000000 -5fc948ef -c2713ce2 -5ce4e7ad -7a9113c2 -ef343cd7 -ffffffff -6aa1b456 -615890f7 -7a6a777e -615890f7 -754e68e5 -00000000 -8ab1971a -ffffffff -5f06f7ad -82ddebd3 -a534c5de -ffffffff -2aca4e5f -9ea76f08 -939e1ac3 -24eeb7cf -ce1d5c3a -ffffffff -c8b39d6a -ecd58e89 -f9513eaf -ecd58e89 -b8bc57cd -ffffffff -4743a832 -00000000 -9bdf9ce3 -4aef382f -3dcb4973 -00000000 -447a0ac3 -132a7176 -f9da381e -374b8630 -5b32d558 -00000000 -89243bf0 -339863c4 -2de91157 -cc679c3b -aa8a090d -ffffffff -5575f6f2 -00000000 -fde3c55e -386c49b8 -9a3bd9bc -ffffffff -6c52c864 -339863c4 -fdbc23ec -fb24d57d -95b48e61 -ffffffff -6f1df704 -d277af9d -0556869a -d277af9d -939f17a0 -ffffffff -6c60e85f -00000000 -01bed3ee -0434d582 -b38aaf5c -ffffffff -ae3428c1 -2d885062 -01be696c -3edc9bee -f99bef72 -ffffffff -f419b7b6 -4c18c344 -f27da73b -4c18c344 -67461818 -00000000 -98b9e7e7 -ffffffff -19be8a6c -4122e410 -947de04a -ffffffff -a200390e -b3e73cba -52df1cb0 -0e8b61f7 -6dda94f3 -00000000 -2d0a7114 -2d13320e -bf2f1a18 -d2eccdf1 -6e6083b4 -00000000 -919f7c4b -ffffffff -52e0df3e -50ab8607 -746c5b1b -00000000 -b53d4102 -2d13320e -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp2.reference_output deleted file mode 100644 index 7d2ddf8c9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -56572ab7 -00000000 -7b89296c -93fdcab8 -b18550ff -ffffffff -7dc59a3a -d2d6b877 -7e45003c -00000000 -a749f9c5 -cf84b683 -c3f3b533 -ffffffff -08ceac39 -854a9657 -839996d5 -ffffffff -53e8eb43 -137a9777 -68dd39b3 -00000000 -ff1e5bef -5c74e45e -e6b5c7fa -ffffffff -6b9f15c4 -dc338383 -68405f79 -00000000 -9a6ab329 -5ae6a228 -0fd764d4 -00000000 -acca7f0d -432779ee -704c5163 -00000000 -2cb7362c -af949e5e -7dd8be00 -00000000 -a96ec2b3 -5cd2875e -b925fa2a -ffffffff -708cc1b6 -9d02fc90 -f8f1d567 -ffffffff -0b54aa22 -953b00b0 -c05791ea -ffffffff -3c53d0e3 -224c0601 -9262ed57 -ffffffff -f0e58650 -e8dac663 -73de5f0f -00000000 -e5f0307e -3d7c95f9 -1e09d24a -00000000 -aaac3142 -8c8a18b2 -9ea3ab0f -ffffffff -6f9fb997 -785036de -2be2bdd2 -00000000 -a7298c66 -95a4d257 -f7964d12 -ffffffff -d814d575 -807da245 -f022523d -ffffffff -69b1dcbf -3d061437 -d5d23599 -ffffffff -08208d09 -7f216822 -5727ad37 -00000000 -dae98554 -14b91c79 -f2364c48 -ffffffff -c6880007 -c5ec6148 -0032b995 -00000000 -6a013380 -7213516d -bfaab80c -ffffffff -ae4839a1 -4652f62d -1bcf70e3 -00000000 -9e044706 -85986adb -ab441dd3 -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-01.reference_output deleted file mode 100644 index c7e52f2b4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -4799c3e5 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -dffbfdff -deadbeef -effdfeff -deadbeef -f7feff7f -deadbeef -fbff7fbf -deadbeef -fdffbfdf -deadbeef -feffdfef -deadbeef -ff7feff7 -deadbeef -ffbff7fb -deadbeef -ffdffbfd -deadbeef -ffeffdfe -deadbeef -7ff7feff -deadbeef -bffbff7f -deadbeef -dffdffbf -deadbeef -effeffdf -deadbeef -f7ff7fef -deadbeef -fbffbff7 -deadbeef -fdffdffb -deadbeef -feffeffd -deadbeef -ff7ff7fe -deadbeef -7fbffbff -deadbeef -bfdffdff -deadbeef -dfeffeff -deadbeef -eff7ff7f -deadbeef -f7fbffbf -deadbeef -fbfdffdf -deadbeef -fdfeffef -deadbeef -feff7ff7 -deadbeef -ff7fbffb -deadbeef -ffbfdffd -deadbeef -ffdfeffe -deadbeef -7feff7ff -deadbeef -bff7fbff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -40080400 -deadbeef -7d6edd03 -deadbeef -77524e08 -deadbeef -781c6e4c -deadbeef -18c5683c -deadbeef -f489e912 -deadbeef -315e1351 -deadbeef -6bcffcb7 -deadbeef -a1770abd -deadbeef -b5a467d3 -deadbeef -b1817121 -deadbeef -d739557c -deadbeef -754a8c38 -deadbeef -0066c1ab -deadbeef -1fd61299 -deadbeef -86890c81 -deadbeef -30a07e62 -deadbeef -502a4d47 -deadbeef -ca6f8fb5 -deadbeef -d872ab8c -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -20040200 -deadbeef -10020100 -deadbeef -08010080 -deadbeef -04008040 -deadbeef -02004020 -deadbeef -01002010 -deadbeef -00801008 -deadbeef -00400804 -deadbeef -00200402 -deadbeef -00100201 -deadbeef -80080100 -deadbeef -40040080 -deadbeef -20020040 -deadbeef -10010020 -deadbeef -08008010 -deadbeef -04004008 -deadbeef -02002004 -deadbeef -01001002 -deadbeef -00800801 -deadbeef -80400400 -deadbeef -40200200 -deadbeef -20100100 -deadbeef -10080080 -deadbeef -08040040 -deadbeef -04020020 -deadbeef -02010010 -deadbeef -01008008 -deadbeef -00804004 -deadbeef -00402002 -deadbeef -00201001 -deadbeef -80100800 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp1.reference_output deleted file mode 100644 index 043c39c29..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -0eea27ba -00000000 -75630ed6 -93fdcab8 -8476d693 -6c023547 -8edbd803 -ffffffff -712427fc -00000000 -0dd09a3f -9c55496a -50e4b43c -00000000 -cc6ddda8 -93fdcab8 -acf6b0ac -4f0e7329 -749a792d -00000000 -8b8422c2 -5c74e45e -00e1a410 -a38b1ba1 -a6282e0a -ffffffff -59d7d1f5 -00000000 -53074732 -6fef7bd6 -b04f80ba -ffffffff -af6ddca9 -5c74e45e -85d9f49f -f3461900 -22582dff -00000000 -8b36ef4c -5cd2875e -56913d4c -a32d78a1 -bb33ca80 -ffffffff -44cc357f -00000000 -d625f8df -0c6725bc -a494b040 -ffffffff -4e0372f3 -5cd2875e -1515b62e -d5a6539a -62ed7d72 -00000000 -871d4d0c -3d7c95f9 -1a0fcf81 -c2836a06 -05b527f6 -00000000 -fa4ad809 -ffffffff -d6d5b6ce -26575c5d -5518e045 -00000000 -3b0910c3 -3d7c95fa -b1a509ca -bd7bb672 -761be59c -00000000 -1faa3923 -3d061437 -964e2340 -c2f9ebc8 -071a38f8 -00000000 -f8e5c707 -ffffffff -41c6b234 -bd83b67d -9b1b73bf -ffffffff -04cd507e -3d061437 -c4490a21 -3441a740 -0434e7d1 -00000000 -aa7cde70 -4652f62d -51b7c65e -b9ad09d2 -7986056f -00000000 -8679fa90 -ffffffff -18496d21 -b866479b -0aae1d62 -00000000 -b8f65703 -4652f62d -ee915c98 -58d5d905 -9a114016 -ffffffff -2c7a7292 -f4d1d996 -4994cd7b -f4d1d996 -ea8a92de -ffffffff -15756d21 -00000000 -0f65a0a0 -5f2a25d6 -905b9338 -ffffffff -46c6c5bc -0b2e2669 -81fd5f28 -f909502b -2c47fa27 -00000000 -53bfd8ca -1011eeb4 -8007dd12 -efee114b -57e1bd7a -00000000 -a81e4285 -ffffffff -7dfda0b2 -f92aad54 -6c6e4e36 -00000000 -ec667123 -1011eeb4 -f261884d -c35a255f -b8d6c6d6 -ffffffff -37de8074 -8f03e503 -70f7b95d -8f03e503 -48331c29 -00000000 -b7cce3d6 -ffffffff -0c721591 -24a25aa0 -27c2a2c5 -00000000 -b6cae967 -70fc1afc -121290f0 -bed9e518 -c947f4e0 -ffffffff -2575aa0c -8d8bacf8 -13cda113 -8d8bacf8 -faefba66 -ffffffff -05104599 -00000000 -ea532d08 -3f220927 -1e67ba72 -00000000 -0a9a195e -72745308 -d235139a -6e5e995f -fc15be06 -ffffffff -fa75b994 -3141db26 -f99ff86d -3141db26 -c2e98502 -ffffffff -3d167afd -00000000 -dab51b9a -6f9ee25f -be15f824 -ffffffff -c475ffb6 -cebe24d8 -d295e997 -7a9113e5 -efbdb180 -ffffffff -c61fe6df -547acb3e -d65da8a0 -547acb3e -06335b9c -00000000 -f9cca463 -ffffffff -24da1627 -7c995be6 -10573fca -00000000 -39f99729 -ab8534c1 -e49adff7 -990c7a8f -6de64cb9 -00000000 -cd6dc84a -01fbbe93 -5f747b0c -fe04416c -1e53d41d -00000000 -e1ac2be2 -ffffffff -e49cdff7 -9af382af -75e7cc89 -00000000 -1673517c -01fbbe94 -52135d56 -2de37f54 -33431e87 -00000000 -6f749a1d -74f5add5 -a3c87b65 -8b0a522a -92329615 -ffffffff -6dcd69ea -00000000 -6a5c5e66 -ce0c8056 -18dddcd2 -00000000 -7515616c -74f5add5 -c3e3c21c -d0bc9a3a -af169c96 -ffffffff -d50baf5c -69ae119a -85e2cc35 -69ae119a -8be44a0c -ffffffff -741bb5f3 -00000000 -341c25a0 -dd3f62c5 -50902959 -00000000 -caad5d23 -9651ee65 -2dc51a2a -072cdbff -4e488015 -00000000 -060ec1e0 -9b11d383 -b7b9be0a -64ee2c7c -bb43f891 -ffffffff -44bc076e -00000000 -adc99dd4 -374edbff -e3a05b8e -ffffffff -2be69d83 -9b11d383 -74bd2e93 -971acc3b -5d0ea39f -00000000 -be58f103 -93017690 -1ca9ad63 -6cfe896f -0a870267 -00000000 -f578fd98 -ffffffff -7b41ceab -971d313c -acb10449 -ffffffff -900756e5 -93017690 -f7f576a7 -2ab7ea92 -9d187ddd -ffffffff -b6faa514 -3a2f646b -d41d2736 -3a2f646b -f82d3274 -ffffffff -07d2cd8b -00000000 -07fa8737 -b5380c9b -125a4206 -00000000 -3e3d1acf -c5d09b94 -b287d885 -6d8e7a4d -b7ee74d5 -ffffffff -862e71a5 -e728e41b -ce3ffa8f -e728e41b -d81bb064 -ffffffff -27e44f9b -00000000 -b507e365 -8e307d8d -69e1c632 -00000000 -9ba1cba2 -18d71be4 -51704b2a -a57f8862 -0c22300d -00000000 -01670cd2 -2a5dc0a0 -f2bac320 -d5a23f5f -cea5a6d4 -ffffffff -315a592b -00000000 -697ab4d4 -b9800962 -562eb745 -00000000 -6373f424 -2a5dc0a0 -9b07ed10 -afb2f00c -51f16117 -00000000 -0999714c -c2713ce2 -a797efa4 -3d8ec31d -0b7e55c8 -00000000 -f481aa37 -ffffffff -1bd80da6 -303509d1 -8bf045c6 -ffffffff -e4585621 -c2713ce1 -5ce4e7ad -7a9113c2 -f9cd6bbf -ffffffff -7c58e33e -615890f7 -7a6a777e -615890f7 -8cb4b765 -ffffffff -734b489a -00000000 -5f06f7ad -82ddebd3 -f175f0a0 -ffffffff -770b7921 -9ea76f08 -939e1ac3 -24eeb7cf -4a90140e -00000000 -4c3ed55e -132a7176 -f9513eaf -ecd58e89 -4fd13ac7 -00000000 -b02ec538 -ffffffff -9bdf9ce3 -4aef382f -7f98b7ab -00000000 -864778fb -132a7176 -f9da381e -374b8630 -16613b31 -00000000 -c477d599 -339863c4 -2de91157 -cc679c3b -e58677aa -ffffffff -1a798855 -00000000 -fde3c55e -386c49b8 -1a9a65be -00000000 -ecb15466 -339863c4 -fdbc23ec -fb24d57d -d08756ec -ffffffff -2a2e2f89 -d277af9d -0556869a -d277af9d -ef9fe307 -ffffffff -10601cf8 -00000000 -01bed3ee -0434d582 -e450010b -ffffffff -def97a70 -2d885062 -01be696c -3edc9bee -b2aa27ae -ffffffff -bf287f6a -4c18c344 -f27da73b -4c18c344 -33dc15ea -00000000 -cc23ea15 -ffffffff -19be8a6c -4122e410 -af26df09 -ffffffff -bca937cd -b3e73cba -52df1cb0 -0e8b61f7 -8d47909f -ffffffff -cd977578 -d2eccdf1 -bf2f1a18 -d2eccdf1 -43665d02 -00000000 -bc99a2fd -ffffffff -52e0df3e -50ab8607 -ee365982 -ffffffff -2f073f69 -2d13320e -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp2.reference_output deleted file mode 100644 index 60e9716be..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -7fce0046 -00000000 -7b89296c -93fdcab8 -712427fc -00000000 -7dc59a3a -d2d6b877 -58ca6155 -00000000 -a749f9c5 -cf84b683 -801852a3 -ffffffff -08ceac39 -854a9657 -194b0958 -00000000 -53e8eb43 -137a9777 -2d4da8d8 -00000000 -ff1e5bef -5c74e45e -59d7d1f5 -00000000 -6b9f15c4 -dc338383 -c8938827 -ffffffff -9a6ab329 -5ae6a228 -551ad9f6 -00000000 -acca7f0d -432779ee -baa3cf23 -ffffffff -2cb7362c -af949e5e -66941880 -00000000 -a96ec2b3 -5cd2875e -44cc357f -00000000 -708cc1b6 -9d02fc90 -a2966dc9 -ffffffff -0b54aa22 -953b00b0 -816df800 -ffffffff -3c53d0e3 -224c0601 -074e9a57 -00000000 -f0e58650 -e8dac663 -98a7a57b -ffffffff -e5f0307e -3d7c95f9 -fa4ad809 -ffffffff -aaac3142 -8c8a18b2 -907b539b -ffffffff -6f9fb997 -785036de -69bacf26 -00000000 -a7298c66 -95a4d257 -6ccec0c9 -00000000 -d814d575 -807da245 -8efe229b -ffffffff -69b1dcbf -3d061437 -f8e5c707 -ffffffff -08208d09 -7f216822 -a8744666 -ffffffff -dae98554 -14b91c79 -ba09e572 -ffffffff -c6880007 -c5ec6148 -d19c2b5b -ffffffff -6a013380 -7213516d -824d1d41 -ffffffff -ae4839a1 -4652f62d -8679fa90 -ffffffff -9e044706 -85986adb -8ea9fb9b -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-01.reference_output deleted file mode 100644 index 31bfb75d1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -7bbbca92 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -fdefffbf -deadbeef -fef7ffdf -deadbeef -ff7bffef -deadbeef -ffbdfff7 -deadbeef -ffdefffb -deadbeef -ffef7ffd -deadbeef -fff7bffe -deadbeef -7ffbdfff -deadbeef -bffdefff -deadbeef -dffef7ff -deadbeef -efff7bff -deadbeef -f7ffbdff -deadbeef -fbffdeff -deadbeef -fdffef7f -deadbeef -fefff7bf -deadbeef -ff7ffbdf -deadbeef -ffbffdef -deadbeef -ffdffef7 -deadbeef -ffefff7b -deadbeef -fff7ffbd -deadbeef -fffbffde -deadbeef -7ffdffef -deadbeef -bffefff7 -deadbeef -dfff7ffb -deadbeef -efffbffd -deadbeef -f7ffdffe -deadbeef -7bffefff -deadbeef -bdfff7ff -deadbeef -defffbff -deadbeef -ef7ffdff -deadbeef -f7bffeff -deadbeef -fbdfff7f -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -04200080 -deadbeef -e42596b4 -deadbeef -b905e605 -deadbeef -4a7fcb7d -deadbeef -717ad44b -deadbeef -eeb93641 -deadbeef -80d5bee4 -deadbeef -252ab1e6 -deadbeef -5f1f866d -deadbeef -da340807 -deadbeef -672346d3 -deadbeef -ef836ec6 -deadbeef -1c4ac5f3 -deadbeef -ea2343b0 -deadbeef -7027dbe6 -deadbeef -a250576c -deadbeef -ea01cd21 -deadbeef -838da6de -deadbeef -915337db -deadbeef -b8124394 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -02100040 -deadbeef -01080020 -deadbeef -00840010 -deadbeef -00420008 -deadbeef -00210004 -deadbeef -00108002 -deadbeef -00084001 -deadbeef -80042000 -deadbeef -40021000 -deadbeef -20010800 -deadbeef -10008400 -deadbeef -08004200 -deadbeef -04002100 -deadbeef -02001080 -deadbeef -01000840 -deadbeef -00800420 -deadbeef -00400210 -deadbeef -00200108 -deadbeef -00100084 -deadbeef -00080042 -deadbeef -00040021 -deadbeef -80020010 -deadbeef -40010008 -deadbeef -20008004 -deadbeef -10004002 -deadbeef -08002001 -deadbeef -84001000 -deadbeef -42000800 -deadbeef -21000400 -deadbeef -10800200 -deadbeef -08400100 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp1.reference_output deleted file mode 100644 index bdfc4ed37..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -2f76df59 -00000000 -54fff635 -93fdcab8 -8476d693 -6c023547 -a70a1c42 -ffffffff -58f5e3bd -00000000 -0dd09a3f -9c55496a -539be7fd -00000000 -cf251169 -93fdcab8 -acf6b0ac -4f0e7329 -dc7e1242 -ffffffff -236049ad -a38b1ba1 -00e1a410 -a38b1ba1 -b2d192a4 -ffffffff -4d2e6d5b -00000000 -53074732 -6fef7bd6 -aca5e4dd -ffffffff -abc440cc -5c74e45e -85d9f49f -f3461900 -011d932e -00000000 -a873519d -5cd2875e -56913d4c -a32d78a1 -d04e30f9 -ffffffff -2fb1cf06 -00000000 -d625f8df -0c6725bc -765e3cb7 -00000000 -1fccff6a -5cd2875f -1515b62e -d5a6539a -f74de364 -ffffffff -12bdd31a -c2836a06 -1a0fcf81 -c2836a06 -f3acbe4a -ffffffff -0c5341b5 -00000000 -d6d5b6ce -26575c5d -885aeb06 -ffffffff -6e4b1b84 -3d7c95f9 -b1a509ca -bd7bb672 -c11445de -ffffffff -a8a59961 -c2f9ebc8 -964e2340 -c2f9ebc8 -4d5a5102 -00000000 -b2a5aefd -ffffffff -41c6b234 -bd83b67d -74d6383e -00000000 -de8814fd -3d061437 -c4490a21 -3441a740 -e7acbdeb -ffffffff -49e4844a -b9ad09d2 -51b7c65e -b9ad09d2 -696fc6c9 -00000000 -96903936 -ffffffff -18496d21 -b866479b -04f4bc15 -00000000 -b33cf5b6 -4652f62d -ee915c98 -58d5d905 -b809db2e -ffffffff -0e62e9aa -f4d1d996 -4994cd7b -f4d1d996 -8829dc08 -ffffffff -77d623f7 -00000000 -0f65a0a0 -5f2a25d6 -26ec2a31 -00000000 -dd575cb5 -0b2e2669 -81fd5f28 -f909502b -b9b85e97 -ffffffff -c6407c7a -efee114b -8007dd12 -efee114b -ebbe96cf -ffffffff -14416930 -00000000 -7dfda0b2 -f92aad54 -21681008 -00000000 -a16032f5 -1011eeb4 -f261884d -c35a255f -0eb3ece9 -00000000 -81bbaa4b -70fc1afc -70f7b95d -8f03e503 -25b16eaa -00000000 -da4e9155 -ffffffff -0c721591 -24a25aa0 -cf1a8e92 -ffffffff -5e22d534 -70fc1afc -121290f0 -bed9e518 -d7027018 -ffffffff -3b302ef4 -8d8bacf8 -13cda113 -8d8bacf8 -88fdc6b9 -ffffffff -77023946 -00000000 -ea532d08 -3f220927 -ab2282a4 -ffffffff -9754e190 -72745307 -d235139a -6e5e995f -029b5f85 -00000000 -04fb5817 -cebe24d9 -f99ff86d -3141db26 -75a57ae2 -00000000 -8a5a851d -ffffffff -dab51b9a -6f9ee25f -42bc4fa0 -00000000 -491c5732 -cebe24d9 -d295e997 -7a9113e5 -2744cef2 -00000000 -0ee699ad -ab8534c1 -d65da8a0 -547acb3e -b997ed7c -ffffffff -46681283 -00000000 -24da1627 -7c995be6 -357ce088 -00000000 -5f1f37e7 -ab8534c1 -e49adff7 -990c7a8f -6c0103d6 -00000000 -cc8a8725 -01fbbe93 -5f747b0c -fe04416c -eacbb94c -ffffffff -153446b3 -00000000 -e49cdff7 -9af382af -6f011b16 -00000000 -0f8ca009 -01fbbe94 -52135d56 -2de37f54 -fa2ca437 -ffffffff -a61b20ad -8b0a522a -a3c87b65 -8b0a522a -1e06ea33 -00000000 -e1f915cc -ffffffff -6a5c5e66 -ce0c8056 -7b4b09c7 -00000000 -d7828e61 -74f5add5 -c3e3c21c -d0bc9a3a -c176fd11 -ffffffff -bb6bcedb -69ae119a -85e2cc35 -69ae119a -a1c12dab -ffffffff -5e3ed254 -00000000 -341c25a0 -dd3f62c5 -3ac42308 -00000000 -b4e156d2 -9651ee65 -2dc51a2a -072cdbff -0f7fb9dd -00000000 -4739f828 -9b11d383 -b7b9be0a -64ee2c7c -37571494 -00000000 -c8a8eb6b -ffffffff -adc99dd4 -374edbff -0cec7512 -00000000 -5532b707 -9b11d383 -74bd2e93 -971acc3b -c12b2aa5 -ffffffff -227d7839 -6cfe896f -1ca9ad63 -6cfe896f -74c7820e -00000000 -8b387df1 -ffffffff -7b41ceab -971d313c -d8653abe -ffffffff -bbbb8d5a -93017690 -f7f576a7 -2ab7ea92 -b19a788f -ffffffff -9a78a046 -3a2f646b -d41d2736 -3a2f646b -33196c52 -00000000 -cce693ad -ffffffff -07fa8737 -b5380c9b -c7bc8ecf -ffffffff -f39f6798 -c5d09b93 -b287d885 -6d8e7a4d -45900d40 -00000000 -74500830 -18d71be4 -ce3ffa8f -e728e41b -713c7ff2 -00000000 -8ec3800d -ffffffff -b507e365 -8e307d8d -79930dab -00000000 -ab53131b -18d71be4 -51704b2a -a57f8862 -742a7a0d -00000000 -796f46d2 -2a5dc0a0 -f2bac320 -d5a23f5f -bab52c2d -ffffffff -454ad3d2 -00000000 -697ab4d4 -b9800962 -7672afb1 -00000000 -83b7ec90 -2a5dc0a0 -9b07ed10 -afb2f00c -6389f704 -00000000 -3be1e75f -c2713ce2 -a797efa4 -3d8ec31d -adfd7f10 -ffffffff -520280ef -00000000 -1bd80da6 -303509d1 -c0aac83a -ffffffff -1912d895 -c2713ce2 -5ce4e7ad -7a9113c2 -32abd9ac -00000000 -b73e512d -9ea76f08 -7a6a777e -615890f7 -231d5bae -00000000 -dce2a451 -ffffffff -5f06f7ad -82ddebd3 -c3ac2daf -ffffffff -4941b630 -9ea76f08 -939e1ac3 -24eeb7cf -99316a61 -ffffffff -9f9fab31 -ecd58e89 -f9513eaf -ecd58e89 -c2853921 -ffffffff -3d7ac6de -00000000 -9bdf9ce3 -4aef382f -fdd2744d -ffffffff -0481359d -132a7176 -f9da381e -374b8630 -95245cdb -ffffffff -4732b273 -cc679c3b -2de91157 -cc679c3b -82dab2f1 -ffffffff -7d254d0e -00000000 -fde3c55e -386c49b8 -21ca9c13 -00000000 -f3e18abb -339863c4 -fdbc23ec -fb24d57d -1078b175 -00000000 -ead1c810 -2d885062 -0556869a -d277af9d -1016bdc8 -00000000 -efe94237 -ffffffff -01bed3ee -0434d582 -1aaf3b95 -00000000 -1558b4fa -2d885063 -01be696c -3edc9bee -42b27868 -00000000 -4f3020ac -b3e73cbb -f27da73b -4c18c344 -366424d1 -00000000 -c99bdb2e -ffffffff -19be8a6c -4122e410 -22a0fbf4 -00000000 -302354b8 -b3e73cbb -52df1cb0 -0e8b61f7 -38cf7fb8 -00000000 -781f9a5f -2d13320e -bf2f1a18 -d2eccdf1 -b66655d4 -ffffffff -4999aa2b -00000000 -52e0df3e -50ab8607 -6eee404e -00000000 -afbf2635 -2d13320e -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp2.reference_output deleted file mode 100644 index 108a3fa92..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -77833ce4 -00000000 -7b89296c -93fdcab8 -58f5e3bd -00000000 -7dc59a3a -d2d6b877 -4c75b3e5 -00000000 -a749f9c5 -cf84b683 -8ad52c0b -ffffffff -08ceac39 -854a9657 -04543fe1 -00000000 -53e8eb43 -137a9777 -91507f19 -ffffffff -ff1e5bef -5c74e45e -4d2e6d5b -00000000 -6b9f15c4 -dc338383 -66a9ed80 -00000000 -9a6ab329 -5ae6a228 -f6037357 -ffffffff -acca7f0d -432779ee -b2393665 -ffffffff -2cb7362c -af949e5e -2eac5c28 -00000000 -a96ec2b3 -5cd2875e -2fb1cf06 -00000000 -708cc1b6 -9d02fc90 -a96cf9a6 -ffffffff -0b54aa22 -953b00b0 -66392938 -00000000 -3c53d0e3 -224c0601 -b97eb4a7 -ffffffff -f0e58650 -e8dac663 -fb1ea2d1 -ffffffff -e5f0307e -3d7c95f9 -0c5341b5 -00000000 -aaac3142 -8c8a18b2 -74e74416 -00000000 -6f9fb997 -785036de -a08f46a6 -ffffffff -a7298c66 -95a4d257 -828e7053 -ffffffff -d814d575 -807da245 -73b1eb23 -00000000 -69b1dcbf -3d061437 -b2a5aefd -ffffffff -08208d09 -7f216822 -954702a1 -ffffffff -dae98554 -14b91c79 -8d325148 -ffffffff -c6880007 -c5ec6148 -5be2f2e3 -00000000 -6a013380 -7213516d -713c84dd -00000000 -ae4839a1 -4652f62d -96903936 -ffffffff -9e044706 -85986adb -f88852db -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-01.reference_output deleted file mode 100644 index 2e23842f8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -47ca95f4 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -7fffffff -deadbeef -3fffffff -deadbeef -9fffffff -deadbeef -cfffffff -deadbeef -e7ffffff -deadbeef -f3ffffff -deadbeef -f9ffffff -deadbeef -7cffffff -deadbeef -be7fffff -deadbeef -df3fffff -deadbeef -ef9fffff -deadbeef -f7cfffff -deadbeef -fbe7ffff -deadbeef -fdf3ffff -deadbeef -fef9ffff -deadbeef -ff7cffff -deadbeef -ffbe7fff -deadbeef -ffdf3fff -deadbeef -ffef9fff -deadbeef -fff7cfff -deadbeef -fffbe7ff -deadbeef -fffdf3ff -deadbeef -fffef9ff -deadbeef -ffff7cff -deadbeef -ffffbe7f -deadbeef -ffffdf3f -deadbeef -ffffef9f -deadbeef -fffff7cf -deadbeef -fffffbe7 -deadbeef -fffffdf3 -deadbeef -fffffef9 -deadbeef -ffffff7c -deadbeef -ffffffbe -deadbeef -ffffffdf -deadbeef -ffffffef -deadbeef -fffffff7 -deadbeef -fffffffb -deadbeef -fffffffd -deadbeef -fffffffe -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -6aa6b917 -deadbeef -c12d1d19 -deadbeef -f5fdadd9 -deadbeef -cda158a8 -deadbeef -32cc9477 -deadbeef -1d1ff87b -deadbeef -98c6ebc8 -deadbeef -93bb6872 -deadbeef -0e5d7fcc -deadbeef -6c358f6c -deadbeef -ba3ec29c -deadbeef -9e56421c -deadbeef -b5bdf6c8 -deadbeef -8173288e -deadbeef -b2e37615 -deadbeef -2603ce57 -deadbeef -aa2f4efa -deadbeef -2cc1a620 -deadbeef -d8c506f7 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -80000000 -deadbeef -c0000000 -deadbeef -60000000 -deadbeef -30000000 -deadbeef -18000000 -deadbeef -0c000000 -deadbeef -06000000 -deadbeef -83000000 -deadbeef -41800000 -deadbeef -20c00000 -deadbeef -10600000 -deadbeef -08300000 -deadbeef -04180000 -deadbeef -020c0000 -deadbeef -01060000 -deadbeef -00830000 -deadbeef -00418000 -deadbeef -0020c000 -deadbeef -00106000 -deadbeef -00083000 -deadbeef -00041800 -deadbeef -00020c00 -deadbeef -00010600 -deadbeef -00008300 -deadbeef -00004180 -deadbeef -000020c0 -deadbeef -00001060 -deadbeef -00000830 -deadbeef -00000418 -deadbeef -0000020c -deadbeef -00000106 -deadbeef -00000083 -deadbeef -00000041 -deadbeef -00000020 -deadbeef -00000010 -deadbeef -00000008 -deadbeef -00000004 -deadbeef -00000002 -deadbeef -00000001 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp1.reference_output deleted file mode 100644 index f0f3c9404..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -eedd7e35 -7379a5d8 -95545759 -e0846f60 -8476d693 -6c023547 -0ab7f032 -25b51cfc -f5480fcd -da4ae303 -0dd09a3f -9c55496a -b8fe3cb1 -f08e5b6e -3487661d -848c2627 -acf6b0ac -4f0e7329 -ac8e4387 -8b562b01 -53901868 -d722cf5f -00e1a410 -a38b1ba1 -6371f0e4 -402110fc -9c8e0f1b -bfdeef03 -53074732 -6fef7bd6 -5376aa50 -05478c67 -5295063f -61bc70c6 -85d9f49f -f3461900 -43629052 -67b6c6ab -ea0c52e1 -3b6441f5 -56913d4c -a32d78a1 -48b32de1 -1c73cbd9 -b74cd21e -e38c3426 -d625f8df -0c6725bc -ae689266 -59273bb0 -57d75519 -b5f9c30f -1515b62e -d5a6539a -a4b5e5cd -45adc339 -4145d5b3 -78d156c0 -1a0fcf81 -c2836a06 -0729f790 -610630bd -f8d6086f -9ef9cf42 -d6d5b6ce -26575c5d -0d11a5bc -dd4157ca -f301d63a -1abdedc3 -b1a509ca -bd7bb672 -cf006bff -957a57e3 -a6b1b740 -a87c43d4 -964e2340 -c2f9ebc8 -129dc3c5 -203bffd8 -ed623c3a -dfc40027 -41c6b234 -bd83b67d -272112cc -6b075fe4 -90d2ef8b -a80d741b -c4490a21 -3441a740 -a3685e0e -bb7c1149 -0d2067af -fd2ee764 -51b7c65e -b9ad09d2 -5e293b65 -031c73f3 -a1d6c49a -fce38c0c -18496d21 -b866479b -210c6d27 -fcfb8905 -cf54a6c8 -434e7f32 -ee915c98 -58d5d905 -f97b1da9 -b48392e9 -4f102f2d -bfadb480 -4994cd7b -f4d1d996 -9e10dbea -80759ea1 -61ef2415 -7f8a615e -0f65a0a0 -5f2a25d6 -7da37eb1 -8f746c85 -340eb135 -9aa292ef -81fd5f28 -f909502b -bc7ca875 -558fb3e5 -c3848a98 -459e5d51 -8007dd12 -efee114b -1c83e6ee -64c73a96 -e37c1911 -9b38c569 -7dfda0b2 -f92aad54 -c278d6b8 -cf9e295d -4270f9a5 -dfb01812 -f261884d -c35a255f -192666be -ade8fcc0 -962e201c -dd14e63c -70f7b95d -8f03e503 -bdeac465 -9b10f6ae -42153b9a -64ef0951 -0c721591 -24a25aa0 -e62d9cf6 -033ccbbf -7535e398 -7438e6bc -121290f0 -bed9e518 -213f7fc9 -aeaf98a3 -cd0d2125 -dcdbcba4 -13cda113 -8d8bacf8 -01d2866a -d4534a89 -fe2d7995 -2bacb576 -ea532d08 -3f220927 -9d1763f3 -17d06288 -8949c2df -8a44b590 -d235139a -6e5e995f -096cd6f9 -ad9daf04 -0f0cd16b -63238bdd -f99ff86d -3141db26 -17c55c3e -f5f32ffe -e83aa3c1 -0a0cd001 -dab51b9a -6f9ee25f -0d3552e1 -ad7fd209 -13955a73 -7c3df6e2 -d295e997 -7a9113e5 -c73d4af1 -2ac73ac6 -ee9f1dae -81420e07 -d65da8a0 -547acb3e -285432a9 -8ac1eac2 -d7abcd56 -753e153d -24da1627 -7c995be6 -38006529 -99c9061f -61a2bc88 -454e3ae0 -e49adff7 -990c7a8f -6260c09b -3a2d29c8 -c2eb4468 -3bd6975b -5f747b0c -fe04416c -9b5ba10b -72002c75 -64a45ef4 -8dffd38a -e49cdff7 -9af382af -0263ca9b -3bd6d5d0 -a2ef4f8e -3dd29463 -52135d56 -2de37f54 -d5ff9b4c -40879a2b -89c81fd6 -347237fe -a3c87b65 -8b0a522a -2e00653f -a11837e3 -d1ff9ac0 -5ee7c81c -6a5c5e66 -ce0c8056 -cf90cbd1 -005455ab -2bc8506b -754a0381 -c3e3c21c -d0bc9a3a -2eb5c548 -752f88b3 -54a8f682 -e37e66d6 -85e2cc35 -69ae119a -ed7f414e -816dfaff -1280beb1 -7e920500 -341c25a0 -dd3f62c5 -d55236be -cff8f0c5 -4f6f6a88 -664adf2b -2dc51a2a -072cdbff -9794c23b -299f1893 -dfd283ce -b28ecb10 -b7b9be0a -64ee2c7c -de0415c7 -38da244a -21fbea38 -c725dbb5 -adc99dd4 -374edbff -d612944c -cffebe93 -1e58d641 -6b109217 -74bd2e93 -971acc3b -f7c3503a -59344949 -149502a6 -ca353fd9 -1ca9ad63 -6cfe896f -3f712c46 -d5ca472c -c08ed3b9 -2a35b8d3 -7b41ceab -971d313c -792d2506 -6137bfcd -5c8377a2 -f439365e -f7f576a7 -2ab7ea92 -cce2a4c8 -32242d76 -e7007c01 -f7f4b6e2 -d41d2736 -3a2f646b -5672b4f2 -2b59c399 -a98d4b0d -d4a63c66 -07fa8737 -b5380c9b -2ef54c12 -ec434e58 -5ad824db -b213e9ec -b287d885 -6d8e7a4d -0f94642b -3371afa8 -3e54615b -2ba6b44c -ce3ffa8f -e728e41b -cb4dbd48 -7dbd0b21 -34b242b7 -8242f4de -b507e365 -8e307d8d -4c5cf997 -a38a6e40 -7e1cff07 -bc618a24 -51704b2a -a57f8862 -8e4bb548 -795044a9 -830e8997 -530d8409 -f2bac320 -d5a23f5f -194aaed5 -4baff9ee -e6b5512a -b4500611 -697ab4d4 -b9800962 -9206d5b7 -890a84aa -9f4c1296 -b368454a -9b07ed10 -afb2f00c -582efebf -4629af16 -0046eee4 -845893f4 -a797efa4 -3d8ec31d -f5234fe2 -ba81f2cb -0adcb01d -457e0d34 -1bd80da6 -303509d1 -fec06ec5 -be4adbf2 -57287f20 -80bc18d5 -5ce4e7ad -7a9113c2 -68975efe -10c73ad5 -ed02d67f -8e6055dd -7a6a777e -615890f7 -a4bb8526 -4e0fa1ca -5b447ad9 -b1f05e35 -5f06f7ad -82ddebd3 -da6270ce -6de993d5 -5ff7f94f -0c9102de -939e1ac3 -24eeb7cf -997baf4e -511a683f -9fd56e1e -42301949 -f9513eaf -ecd58e89 -66a36c14 -585fb9d7 -995c93eb -a7a04628 -9bdf9ce3 -4aef382f -bd43aed4 -46a8ad5f -c3f27024 -59d31ed5 -f9da381e -374b8630 -2de77247 -05fc1f92 -fff19cef -36647c56 -2de91157 -cc679c3b -db82b398 -b06766b9 -247d4c67 -4f989946 -fde3c55e -386c49b8 -b7f7c6e0 -427e9006 -8a0eb588 -7616f3cb -fdbc23ec -fb24d57d -78d8d592 -909f07c1 -8271acf7 -bd1757a3 -0556869a -d277af9d -25a4b8c6 -f24d4f3e -da5b4739 -0db2b0c1 -01bed3ee -0434d582 -86ddaa83 -ec1637bf -818723e8 -199e8822 -01be696c -3edc9bee -32ddf60d -732d285b -3f5faec9 -c0ca14e0 -f27da73b -4c18c344 -b4285574 -9dd848e7 -4bd7aa8b -6227b718 -19be8a6c -4122e410 -3cf586a8 -4c521524 -4a77df6c -003951df -52df1cb0 -0e8b61f7 -b098ef7d -b7562d59 -f0480a9a -9a451f57 -bf2f1a18 -d2eccdf1 -cd56fc22 -700153ae -32a903dd -8ffeac51 -52e0df3e -50ab8607 -a0874efe -16a43f89 -e15834e5 -43b77197 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp2.reference_output deleted file mode 100644 index 09ad2424d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -1b9571f8 -573346db -7b89296c -93fdcab8 -f5480fcd -244ae303 -7dc59a3a -d2d6b877 -276483b3 -521c27f3 -a749f9c5 -cf84b683 -574d26e8 -2392d69a -08ceac39 -854a9657 -7d7e05e8 -fa2a9491 -53e8eb43 -137a9777 -30004c9c -ca88c402 -ff1e5bef -5c74e45e -9c8e0f1b -41deef03 -6b9f15c4 -dc338383 -30732bdc -ab7d9545 -9a6ab329 -5ae6a228 -349be641 -849c7af2 -acca7f0d -432779ee -65906107 -ac56d57d -2cb7362c -af949e5e -f42e424c -7a3af28d -a96ec2b3 -5cd2875e -b74cd21e -1d8c3426 -708cc1b6 -9d02fc90 -88d7f599 -f926794d -0b54aa22 -953b00b0 -d5b7a8ef -6922cd59 -3c53d0e3 -224c0601 -9d6d1c00 -7240d70a -f0e58650 -e8dac663 -5c63eda2 -25540c7b -e5f0307e -3d7c95f9 -f8d6086f -60f9cf42 -aaac3142 -8c8a18b2 -82a9ecf2 -05d09270 -6f9fb997 -785036de -557f7c01 -2ba0eb34 -a7298c66 -95a4d257 -2b7dbca7 -2d6c845d -d814d575 -807da245 -226257c5 -b4be57c4 -69b1dcbf -3d061437 -ed623c3a -21c40027 -08208d09 -7f216822 -62082713 -b611d7a9 -dae98554 -14b91c79 -671bf825 -5e614518 -c6880007 -c5ec6148 -ba0f9803 -e4b80407 -6a013380 -7213516d -02be9a94 -b99f9d45 -ae4839a1 -4652f62d -a1d6c49a -02e38c0c -9e044706 -85986adb -a3a02f4a -45429dd2 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-01.reference_output deleted file mode 100644 index c15d4a2ba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -9377ea60 -deadbeef -fffffffb -deadbeef -fffffffd -deadbeef -fffffffe -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -7fffffff -deadbeef -bfffffff -deadbeef -dfffffff -deadbeef -efffffff -deadbeef -f7ffffff -deadbeef -fbffffff -deadbeef -fdffffff -deadbeef -feffffff -deadbeef -ff7fffff -deadbeef -ffbfffff -deadbeef -ffdfffff -deadbeef -ffefffff -deadbeef -fff7ffff -deadbeef -00000000 -deadbeef -bffdffff -deadbeef -dffeffff -deadbeef -efff7fff -deadbeef -f7ffbfff -deadbeef -fbffdfff -deadbeef -fdffefff -deadbeef -fefff7ff -deadbeef -ff7ffbff -deadbeef -7fbffdff -deadbeef -bfdffeff -deadbeef -dfefff7f -deadbeef -eff7ffbf -deadbeef -f7fbffdf -deadbeef -fbfdffef -deadbeef -fdfefff7 -deadbeef -feff7ffb -deadbeef -ff7fbffd -deadbeef -ffbfdffe -deadbeef -ffdfefff -deadbeef -ffeff7ff -deadbeef -fff7fbff -deadbeef -fffbfdff -deadbeef -fffdfeff -deadbeef -fffeff7f -deadbeef -ffff7fbf -deadbeef -ffffbfdf -deadbeef -ffffdfef -deadbeef -ffffeff7 -deadbeef -fffff7fb -deadbeef -fffffbfd -deadbeef -fffffdfe -deadbeef -fffffeff -deadbeef -ffffff7f -deadbeef -ffffffbf -deadbeef -ffffffdf -deadbeef -ffffffef -deadbeef -fffffff7 -deadbeef -00000004 -deadbeef -00000002 -deadbeef -00000001 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -80000000 -deadbeef -40000000 -deadbeef -20000000 -deadbeef -10000000 -deadbeef -08000000 -deadbeef -04000000 -deadbeef -02000000 -deadbeef -00000008 -deadbeef -376426cd -deadbeef -8f93e17a -deadbeef -0bf6ba17 -deadbeef -309c7a37 -deadbeef -ce9a33cd -deadbeef -519fbeb1 -deadbeef -3e47fdec -deadbeef -1c544101 -deadbeef -a37a4913 -deadbeef -81fb5a6e -deadbeef -44876213 -deadbeef -f8cf163e -deadbeef -ff371c19 -deadbeef -1d81f5c9 -deadbeef -4358854e -deadbeef -1d5cb915 -deadbeef -8d7db2fe -deadbeef -840a59d7 -deadbeef -5c1cbaef -deadbeef -01000000 -deadbeef -00800000 -deadbeef -00400000 -deadbeef -00200000 -deadbeef -00100000 -deadbeef -00080000 -deadbeef -80040000 -deadbeef -40020000 -deadbeef -20010000 -deadbeef -10008000 -deadbeef -08004000 -deadbeef -04002000 -deadbeef -02001000 -deadbeef -01000800 -deadbeef -00800400 -deadbeef -80400200 -deadbeef -40200100 -deadbeef -20100080 -deadbeef -10080040 -deadbeef -08040020 -deadbeef -04020010 -deadbeef -02010008 -deadbeef -01008004 -deadbeef -00804002 -deadbeef -00402001 -deadbeef -00201000 -deadbeef -00100800 -deadbeef -00080400 -deadbeef -00040200 -deadbeef -00020100 -deadbeef -00010080 -deadbeef -00008040 -deadbeef -00004020 -deadbeef -00002010 -deadbeef -00001008 -deadbeef -00000804 -deadbeef -00000402 -deadbeef -00000201 -deadbeef -00000100 -deadbeef -00000080 -deadbeef -00000040 -deadbeef -00000020 -deadbeef -00000010 -deadbeef -ffffffff -deadbeef -7ffbffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp1.reference_output deleted file mode 100644 index c17e70d52..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -3f54c9a3 -140cf9ea -44dde0cf -87f13352 -8476d693 -6c023547 -7b0f9f4f -bb73cf69 -84f060b0 -448c3096 -0dd09a3f -9c55496a -6f9ed22e -f39cedff -eb27fb9a -879ab8b7 -acf6b0ac -4f0e7329 -0f636a3e -af5a2960 -f07d31d1 -f32ecd3e -00e1a410 -a38b1ba1 -e07a8609 -2a54e517 -1f8579f6 -d5ab1ae8 -53074732 -6fef7bd6 -2e0ceeef -96222ea0 -2d2b4ade -f29712ff -85d9f49f -f3461900 -eff8d396 -a76e2e08 -46961125 -fbbca956 -56913d4c -a32d78a1 -9ec78442 -3c4ee48d -61387bbd -c3b11b72 -d625f8df -0c6725bc -a6c0cbdf -dc1350fc -502f8e92 -38e5d85b -1515b62e -d5a6539a -0a8aa50c -18a1df2a -ef7a9572 -25dd4ad3 -1a0fcf81 -c2836a06 -a5568071 -eee165c8 -5aa97f8e -111e9a37 -d6d5b6ce -26575c5d -2a7d5a70 -84fa7b55 -106d8aee -c277114f -b1a509ca -bd7bb672 -31208c46 -48110ae3 -589150f9 -75171ed4 -964e2340 -c2f9ebc8 -ad513042 -d0aca1b4 -52aecfbd -2f535e4b -41c6b234 -bd83b67d -8dfd2355 -38ad2a83 -f7af0014 -75b33eba -c4490a21 -3441a740 -15b16da8 -83981a13 -bbf95409 -c5caec3e -51b7c65e -b9ad09d2 -65c2a7da -37452d80 -9a3d5825 -c8bad27f -18496d21 -b866479b -66d92fb0 -ec7792ca -15216951 -32ca88f8 -ee915c98 -58d5d905 -d8101c62 -ec5e9451 -6e7b2ee6 -e770b238 -4994cd7b -f4d1d996 -2eb2f1d8 -3cf2f54e -d14d0e27 -c30d0ab1 -0f65a0a0 -5f2a25d6 -67aa526c -4c398dc2 -1e1584f0 -5767b42c -81fd5f28 -f909502b -8be87c04 -604bbb3d -f4105ee9 -705a5589 -8007dd12 -efee114b -ec1787e3 -876d6fe4 -13e8781c -7892901b -7dfda0b2 -f92aad54 -ebb07caa -7ea79f33 -6ba89f97 -8eb98de8 -f261884d -c35a255f -a86e3a03 -28d5fa01 -27667ca1 -5829e0fd -70f7b95d -8f03e503 -f6de7a17 -8d08966f -092185e8 -72f76990 -0c721591 -24a25aa0 -a8f56551 -673278fe -37fdabf3 -d82e93fb -121290f0 -bed9e518 -cc7fcf84 -a62a588f -204d9168 -d45e0b88 -13cda113 -8d8bacf8 -0bbd3c61 -da4938c2 -f442c39e -25b6c73d -ea532d08 -3f220927 -8c14d9bf -9c4dc6ff -784738ab -0ec21a07 -d235139a -6e5e995f -3dcbb2db -d13efd50 -3babb549 -1f80d989 -f99ff86d -3141db26 -6c7d63bb -75c67873 -93829c44 -8a39878c -dab51b9a -6f9ee25f -7689f3eb -de3a2484 -7ce9fb7d -acf8495d -d295e997 -7a9113e5 -2199a14f -68503433 -083bf610 -c3d500f2 -d65da8a0 -547acb3e -10d3e96b -1793b855 -ef2c1694 -e86c47aa -24da1627 -7c995be6 -953f1df8 -a7fc55cd -bee17557 -53818a8e -e49adff7 -990c7a8f -94156850 -91f916b4 -349eeca3 -9002a827 -5f747b0c -fe04416c -c2f38265 -7cbb85a7 -3d0c7d9a -83447a58 -e49cdff7 -9af382af -eb217050 -4e09282b -8bacf543 -5004e6bf -52135d56 -2de37f54 -ae382d86 -0406b2e3 -f20fa91c -70f31f36 -a3c87b65 -8b0a522a -fe89aeb8 -5512097c -01765147 -aaedf683 -6a5c5e66 -ce0c8056 -9b414f04 -f890e973 -f778d39e -6d869748 -c3e3c21c -d0bc9a3a -6756c792 -fee5b9a9 -1d4bf458 -68b457cc -85e2cc35 -69ae119a -8732ba27 -155099a7 -78cd45d8 -eaaf6658 -341c25a0 -dd3f62c5 -5869fb13 -6e3bf005 -d2872edd -048dde6a -2dc51a2a -072cdbff -09e02080 -9a3f2c73 -41a66175 -012efff0 -b7b9be0a -64ee2c7c -8a9c805c -112397c9 -75637fa3 -eedc6836 -adc99dd4 -374edbff -4b843d6f -8911627b -93ca7f64 -242335fe -74bd2e93 -971acc3b -11bceeb1 -1f587808 -f2eabc2d -8c590e98 -1ca9ad63 -6cfe896f -88122e3b -53ebdcc2 -77edd1c4 -ac14233d -7b41ceab -971d313c -8dc4fd0e -83608fc4 -711b4faa -16620655 -f7f576a7 -2ab7ea92 -09263e1d -fbc16e6b -22c4e6d4 -3e11f5ff -d41d2736 -3a2f646b -e33437ae -7575598a -1ccbc851 -8a8aa675 -07fa8737 -b5380c9b -5258b35e -fbf2724d -7e3b8c27 -c1c30de1 -b287d885 -6d8e7a4d -6dbd6d19 -96d54635 -5c7d6869 -8e025dd1 -ce3ffa8f -e728e41b -02445252 -c58a7fab -fdbbadad -3a758054 -b507e365 -8e307d8d -915ab201 -8fd79c5d -c31ab771 -a8aeb841 -51704b2a -a57f8862 -f3cbd257 -200ce99c -fe8eee88 -0a51293c -f2bac320 -d5a23f5f -adf70c5d -f62368b6 -5208f3a2 -09dc9749 -697ab4d4 -b9800962 -c35c0159 -987cdc06 -d0a13e38 -c2da9ca6 -9b07ed10 -afb2f00c -b452e451 -828b5e52 -ec3af40a -40fa62b0 -a797efa4 -3d8ec31d -9242966d -1174a450 -6dbd6992 -ee8b5baf -1bd80da6 -303509d1 -3b952e7c -80dc5ca9 -93fd3ed7 -434d998b -5ce4e7ad -7a9113c2 -cc2ce569 -4997750f -49b96de8 -d7301a07 -7a6a777e -615890f7 -1ca4fd63 -45ae29d3 -e35b029c -ba51d62c -5f06f7ad -82ddebd3 -0831cd52 -ca11996e -8dc755d3 -68b90876 -939e1ac3 -24eeb7cf -74475c01 -e4be603e -72e99d51 -f7941148 -f9513eaf -ecd58e89 -5cbd8eaf -42cadfef -a3427150 -bd352010 -9bdf9ce3 -4aef382f -87966a12 -a5ce14c1 -8e452b62 -b8f88637 -f9da381e -374b8630 -7df0b72a -fd82d976 -afe65982 -ce1abab2 -2de91157 -cc679c3b -70784b46 -42278625 -8f87b4b9 -bdd879da -fde3c55e -386c49b8 -85deba58 -bb283bec -57f5a900 -eec09fb1 -fdbc23ec -fb24d57d -80b8505f -5eb7a7de -7a11293a -733ff7bc -0556869a -d277af9d -ab52ce66 -4027f818 -54ad3199 -bfd807e7 -01bed3ee -0434d582 -9f402408 -fbcbbfc0 -99e99d6d -29541023 -01be696c -3edc9bee -268872f3 -3b322ac4 -2b0a2a37 -88d5167f -f27da73b -4c18c344 -984c5109 -d51110a9 -67b3aef6 -2aeeef56 -19be8a6c -4122e410 -d110aa7c -d95e2334 -de930340 -8d455fef -52df1cb0 -0e8b61f7 -278d73a9 -97f723ec -675d964e -bae411e2 -bf2f1a18 -d2eccdf1 -a63a5b4b -776ec6e7 -59c5a4b4 -88913918 -52e0df3e -50ab8607 -fa8d90d2 -9ff95437 -3b5e76b9 -cd0c8646 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp2.reference_output deleted file mode 100644 index ed8dae98d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -bba4a913 -ac80c97c -7b89296c -93fdcab8 -84f060b0 -b88c3096 -7dc59a3a -d2d6b877 -e4d52806 -26b9c300 -a749f9c5 -cf84b683 -a2029d20 -40231f37 -08ceac39 -854a9657 -489cba65 -fdc6a848 -53e8eb43 -137a9777 -10e613c8 -86f13388 -ff1e5bef -5c74e45e -1f8579f6 -29ab1ae8 -6b9f15c4 -dc338383 -2126bf02 -00544993 -9a6ab329 -5ae6a228 -a57920cb -803ba090 -acca7f0d -432779ee -33dd040f -57d6faf6 -2cb7362c -af949e5e -8ec0a82b -98df357a -a96ec2b3 -5cd2875e -61387bbd -3fb11b72 -708cc1b6 -9d02fc90 -9a3630a3 -72553cd1 -0b54aa22 -953b00b0 -fa9e02d6 -3ec8bb25 -3c53d0e3 -224c0601 -66afefd0 -68f56458 -f0e58650 -e8dac663 -5023da82 -f5bf451d -e5f0307e -3d7c95f9 -5aa97f8e -ed1e9a37 -aaac3142 -8c8a18b2 -dcdd6f84 -e04abc66 -6f9fb997 -785036de -03987fae -34521922 -a7298c66 -95a4d257 -fd9a31e0 -9efcd340 -d814d575 -807da245 -638e43fb -9b4254a8 -69b1dcbf -3d061437 -52aecfbd -d3535e4b -08208d09 -7f216822 -e420ab7b -e956eb54 -dae98554 -14b91c79 -13a8b7e8 -95308528 -c6880007 -c5ec6148 -9b7338ef -2c74437e -6a013380 -7213516d -8f8c358d -b722c86c -ae4839a1 -4652f62d -9a3d5825 -34bad27f -9e044706 -85986adb -93015ae8 -a635e7c4 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-01.reference_output deleted file mode 100644 index c59a6d5e9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -a1b97705 -deadbeef -deffffff -deadbeef -ef7fffff -deadbeef -f7bfffff -deadbeef -fbdfffff -deadbeef -7defffff -deadbeef -00000000 -deadbeef -df7bffff -deadbeef -00000000 -deadbeef -f7deffff -deadbeef -fbef7fff -deadbeef -fdf7bfff -deadbeef -fefbdfff -deadbeef -ff7defff -deadbeef -ffbef7ff -deadbeef -ffdf7bff -deadbeef -ffefbdff -deadbeef -fff7deff -deadbeef -fffbef7f -deadbeef -fffdf7bf -deadbeef -fffefbdf -deadbeef -ffff7def -deadbeef -ffffbef7 -deadbeef -ffffdf7b -deadbeef -ffffefbd -deadbeef -fffff7de -deadbeef -fffffbef -deadbeef -fffffdf7 -deadbeef -fffffefb -deadbeef -ffffff7d -deadbeef -ffffffbe -deadbeef -ffffffdf -deadbeef -ffffffef -deadbeef -fffffff7 -deadbeef -fffffffb -deadbeef -fffffffd -deadbeef -fffffffe -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -7fffffff -deadbeef -bfffffff -deadbeef -dfffffff -deadbeef -efffffff -deadbeef -f7ffffff -deadbeef -7bffffff -deadbeef -bdffffff -deadbeef -21000000 -deadbeef -10800000 -deadbeef -08400000 -deadbeef -04200000 -deadbeef -82100000 -deadbeef -41080000 -deadbeef -20840000 -deadbeef -10420000 -deadbeef -08210000 -deadbeef -04108000 -deadbeef -02084000 -deadbeef -01042000 -deadbeef -00821000 -deadbeef -00410800 -deadbeef -00208400 -deadbeef -00104200 -deadbeef -00082100 -deadbeef -00041080 -deadbeef -00020840 -deadbeef -00010420 -deadbeef -42000000 -deadbeef -9744a800 -deadbeef -54f7c10c -deadbeef -48d3bc1a -deadbeef -e31347e2 -deadbeef -37751014 -deadbeef -4d08ff8f -deadbeef -99f78a7d -deadbeef -fd4ed591 -deadbeef -ff87917f -deadbeef -cd628435 -deadbeef -eae976b1 -deadbeef -495ae9a4 -deadbeef -7a3cdd02 -deadbeef -41cc1db9 -deadbeef -1fc915fb -deadbeef -84d2ba06 -deadbeef -d0ec6cee -deadbeef -e8a9019a -deadbeef -c7ff47bb -deadbeef -00008210 -deadbeef -00004108 -deadbeef -00002084 -deadbeef -00001042 -deadbeef -00000821 -deadbeef -00000410 -deadbeef -00000208 -deadbeef -00000104 -deadbeef -00000082 -deadbeef -00000041 -deadbeef -00000020 -deadbeef -00000010 -deadbeef -00000008 -deadbeef -00000004 -deadbeef -00000002 -deadbeef -00000001 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -80000000 -deadbeef -40000000 -deadbeef -20000000 -deadbeef -10000000 -deadbeef -08000000 -deadbeef -84000000 -deadbeef -bef7ffff -deadbeef -efbdffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp1.reference_output deleted file mode 100644 index 40f013a8e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -2376b8f4 -f5459125 -58ff9198 -66b85b9d -8476d693 -6c023547 -3dfbdd43 -2978313f -c20422bc -d687cec0 -0dd09a3f -9c55496a -5d796e68 -8a662442 -d90297d4 -1e63eefa -acf6b0ac -4f0e7329 -bbbab2b6 -f70f4b8e -44a4e959 -ab7bafd0 -00e1a410 -a38b1ba1 -31146acf -0c23eb46 -ceeb9530 -f3dc14b9 -53074732 -6fef7bd6 -01d3bd67 -0813ac64 -00f21956 -648890c3 -85d9f49f -f3461900 -f7569a7a -7de28731 -5e3858c9 -2130006f -56913d4c -a32d78a1 -835a8ecc -7e1ab9e3 -7ca57133 -81e5461c -d625f8df -0c6725bc -bb735ce9 -2e7ab836 -64e21f9c -8b4d3f95 -1515b62e -d5a6539a -b2a7e1e0 -e034240a -5757d19e -dd48b1f3 -1a0fcf81 -c2836a06 -5b137c34 -2a4b1463 -a4ec83cb -d5b4eb9c -d6d5b6ce -26575c5d -70acbc72 -a343aa3c -569cecf0 -e0c04036 -b1a509ca -bd7bb672 -ed9f7ddb -535a94ca -842ea164 -6e5c80fd -964e2340 -c2f9ebc8 -9ea535ac -d05d209a -615aca53 -2fa2df65 -41c6b234 -bd83b67d -9e208d27 -b69902a2 -07d269e6 -f39f16da -c4490a21 -3441a740 -4b629e92 -f40a728f -e52aa733 -b25884a2 -51b7c65e -b9ad09d2 -89c88542 -2bb2fbf0 -76377abd -d44d040f -18496d21 -b866479b -ab0d24d8 -74b41b89 -59555e79 -bb0711b7 -ee915c98 -58d5d905 -abd94dad -996cbc1a -1db27f29 -92429a73 -4994cd7b -f4d1d996 -47c04cb2 -27bacd7b -b83fb34d -d8453284 -0f65a0a0 -5f2a25d6 -a5d6805e -d99da96c -5c41b2e2 -e4cbcfd6 -81fd5f28 -f909502b -ff254412 -a8a95ffb -80dd66ff -b8b8b14f -8007dd12 -efee114b -60c54cc8 -777c29d0 -9f3ab337 -8883d62f -7dfda0b2 -f92aad54 -49122b48 -685e9842 -c90a4e35 -787086f6 -f261884d -c35a255f -def268e2 -656425df -51fa2e40 -15983f23 -70f7b95d -8f03e503 -28e0aebd -d5a794fd -d71f5142 -2a586b02 -0c721591 -24a25aa0 -2144781d -8425385d -b04cbebf -f5215359 -121290f0 -bed9e518 -23559b0d -15898e16 -cf67c5e1 -67fddd11 -13cda113 -8d8bacf8 -1cc333e6 -c80ee23e -e33ccc19 -37f11dc1 -ea532d08 -3f220927 -ed965425 -1072bd9b -d9c8b311 -82e710a3 -d235139a -6e5e995f -4aa28e98 -68781767 -4cc2890a -a6c633be -f99ff86d -3141db26 -822f4710 -6a6b4738 -7dd0b8ef -9594b8c7 -dab51b9a -6f9ee25f -56d6a0ae -e2499577 -5d36a840 -b107ba50 -d295e997 -7a9113e5 -59405883 -965ec8c1 -70e20fdc -3ddbfc00 -d65da8a0 -547acb3e -121af4b4 -ade15b7c -ede50b4b -521ea483 -24da1627 -7c995be6 -584ada2c -08de53d2 -81ed318b -b4638893 -e49adff7 -990c7a8f -99b6aea8 -af427d3b -393d2a5b -aeb9c3a8 -5f747b0c -fe04416c -c6390e1c -382446fa -39c6f1e3 -c7dbb905 -e49cdff7 -9af382af -a6b12d50 -ef23f13b -473cb243 -f11fafcf -52135d56 -2de37f54 -f914ec6e -9d15248d -a52368f4 -e9e08958 -a3c87b65 -8b0a522a -1971a284 -c1323877 -e68e5d7b -3ecdc788 -6a5c5e66 -ce0c8056 -9ed73c73 -93864949 -fb0ec10d -087bf71e -c3e3c21c -d0bc9a3a -0647fc16 -fb4316ce -7c5acfdc -6d12f8ab -85e2cc35 -69ae119a -aa59c1ed -ca5fb5c3 -55a63e12 -35a04a3c -341c25a0 -dd3f62c5 -a5038a27 -86ad6b2e -1f20bdf1 -1cff5994 -2dc51a2a -072cdbff -a708d0ba -e97b6e1e -ef4e914f -726abd9d -b7b9be0a -64ee2c7c -c310908c -af1afc58 -3cef6f73 -50e503a7 -adc99dd4 -374edbff -d15094b2 -c8b0290d -1996d6a7 -63c1fc91 -74bd2e93 -971acc3b -b3444521 -e014d8c0 -501217bd -7315ae50 -1ca9ad63 -6cfe896f -d20ec9b8 -d389ee34 -2df13647 -2c7611cb -7b41ceab -971d313c -c33a65ea -d23a1a8e -a690b886 -653b911f -f7f576a7 -2ab7ea92 -2f863c5e -e745dd36 -0464e497 -229546a2 -d41d2736 -3a2f646b -4009c16f -637d00e0 -bff63e90 -9c82ff1f -07fa8737 -b5380c9b -d1a4ba8f -885927b8 -fd879358 -4e29c34c -b287d885 -6d8e7a4d -895f26bc -dfb971c6 -b89f23cc -c76e6a22 -ce3ffa8f -e728e41b -948a2972 -27ec29a8 -6b75d68d -d813d657 -b507e365 -8e307d8d -4b97a743 -2655c147 -7d57acb3 -3f2cdd2b -51704b2a -a57f8862 -abed9b2d -47fa40f6 -a6a8a7f2 -6da78056 -f2bac320 -d5a23f5f -2ee03e56 -68e7f743 -d11fc1a9 -971808bc -697ab4d4 -b9800962 -1f13946c -c9271517 -2c58d14b -f384d5b7 -9b07ed10 -afb2f00c -f19cd92a -8f892594 -a9f4c971 -4df81976 -a797efa4 -3d8ec31d -9ff49c9b -2bd42e75 -600b6364 -d42bd18a -1bd80da6 -303509d1 -c33db576 -59416911 -1ba5c5d1 -1bb2a5f4 -5ce4e7ad -7a9113c2 -ad405af2 -ddce8af3 -28d5d273 -4369e5fb -7a6a777e -615890f7 -711d9a6b -96c93ed7 -8ee26594 -6936c128 -5f06f7ad -82ddebd3 -166c7c16 -8110cadc -9c020497 -1fb839e4 -939e1ac3 -24eeb7cf -01990c65 -422116b7 -0737cd35 -510b67c1 -f9513eaf -ecd58e89 -69b42020 -f8b50728 -964bdfdf -074af8d7 -9bdf9ce3 -4aef382f -badd9282 -04399635 -c18c53d2 -176407ab -f9da381e -374b8630 -c504158f -c226bb94 -1712fb27 -f1bed850 -2de91157 -cc679c3b -9af8eb84 -63b0830b -6507147b -9c4f7cf4 -fde3c55e -386c49b8 -b4af5172 -90bf633e -86c6401a -c457c703 -fdbc23ec -fb24d57d -55722b2a -5f564e73 -afdb524f -72de1e11 -0556869a -d277af9d -a643fd68 -2e376506 -59bc0297 -d1c89af9 -01bed3ee -0434d582 -1e4804eb -9f81f7bc -18f17e50 -cd0a481f -01be696c -3edc9bee -3a03212c -478a704a -378179e8 -f46d4cf1 -f27da73b -4c18c344 -64123518 -92a1e134 -9bedcae7 -6d5e1ecb -19be8a6c -4122e410 -dae4bdcd -bdb4794b -e8671691 -719bb606 -52df1cb0 -0e8b61f7 -8b09d1cb -17e3b215 -cbd9342c -3af0801b -bf2f1a18 -d2eccdf1 -2bd235fc -7e44393f -d42dca03 -81bbc6c0 -52e0df3e -50ab8607 -e233d6f8 -f4100594 -2304bcdf -212337a3 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp2.reference_output deleted file mode 100644 index 3b16780f4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -e1729a48 -23c25fe5 -7b89296c -93fdcab8 -c20422bc -d687cec0 -7dc59a3a -d2d6b877 -ec7b841a -edd34e17 -a749f9c5 -cf84b683 -00354cf7 -9a0371de -08ceac39 -854a9657 -46f155c9 -e0c8f5ce -53e8eb43 -137a9777 -75512786 -04d35f37 -ff1e5bef -5c74e45e -ceeb9530 -f3dc14b9 -6b9f15c4 -dc338383 -7d8cbfd1 -65c1a717 -9a6ab329 -5ae6a228 -aa664747 -d1054b39 -acca7f0d -432779ee -78380e62 -baccfbe9 -2cb7362c -af949e5e -8bf3eb49 -fc07c12d -a96ec2b3 -5cd2875e -7ca57133 -81e5461c -708cc1b6 -9d02fc90 -1a5573da -340e3287 -0b54aa22 -953b00b0 -b3d4bd2d -d78921f5 -3c53d0e3 -224c0601 -2a17f99f -88515dab -f0e58650 -e8dac663 -164b622b -3580cf96 -e5f0307e -3d7c95f9 -a4ec83cb -d5b4eb9c -aaac3142 -8c8a18b2 -ee9a1937 -653d401a -6f9fb997 -785036de -75e7c03c -dec34861 -a7298c66 -95a4d257 -320f584b -341cf668 -d814d575 -807da245 -8cc5b788 -7cf84baf -69b1dcbf -3d061437 -615aca53 -2fa2df65 -08208d09 -7f216822 -bf209af8 -4410b2cf -dae98554 -14b91c79 -e696f2bb -2b97e71e -c6880007 -c5ec6148 -a036d41c -c8af107d -6a013380 -7213516d -3d55e42f -20477680 -ae4839a1 -4652f62d -76377abd -d44d040f -9e044706 -85986adb -f5eb87da -b0f96927 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-01.reference_output deleted file mode 100644 index 00bbcd242..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -141a8dae -deadbeef -ffbfffff -deadbeef -ffdfffff -deadbeef -ffefffff -deadbeef -00000000 -deadbeef -fffbffff -deadbeef -fffdffff -deadbeef -fffeffff -deadbeef -ffff7fff -deadbeef -ffffbfff -deadbeef -ffffdfff -deadbeef -ffffefff -deadbeef -fffff7ff -deadbeef -fffffbff -deadbeef -fffffdff -deadbeef -7ffffeff -deadbeef -bfffff7f -deadbeef -dfffffbf -deadbeef -efffffdf -deadbeef -00000000 -deadbeef -bbfffff7 -deadbeef -ddfffffb -deadbeef -eefffffd -deadbeef -f77ffffe -deadbeef -fbbfffff -deadbeef -fddfffff -deadbeef -feefffff -deadbeef -ff77ffff -deadbeef -ffbbffff -deadbeef -ffddffff -deadbeef -ffeeffff -deadbeef -fff77fff -deadbeef -fffbbfff -deadbeef -fffddfff -deadbeef -fffeefff -deadbeef -ffff77ff -deadbeef -ffffbbff -deadbeef -ffffddff -deadbeef -ffffeeff -deadbeef -fffff77f -deadbeef -fffffbbf -deadbeef -fffffddf -deadbeef -fffffeef -deadbeef -ffffff77 -deadbeef -ffffffbb -deadbeef -ffffffdd -deadbeef -ffffffee -deadbeef -fffffff7 -deadbeef -fffffffb -deadbeef -fffffffd -deadbeef -fffffffe -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -7fffffff -deadbeef -bfffffff -deadbeef -dfffffff -deadbeef -efffffff -deadbeef -f7ffffff -deadbeef -fbffffff -deadbeef -fdffffff -deadbeef -feffffff -deadbeef -ff7fffff -deadbeef -00400000 -deadbeef -00200000 -deadbeef -00100000 -deadbeef -00080000 -deadbeef -00040000 -deadbeef -00020000 -deadbeef -00010000 -deadbeef -00008000 -deadbeef -00004000 -deadbeef -00002000 -deadbeef -00001000 -deadbeef -00000800 -deadbeef -00000400 -deadbeef -00000200 -deadbeef -80000100 -deadbeef -40000080 -deadbeef -20000040 -deadbeef -10000020 -deadbeef -88000010 -deadbeef -44000008 -deadbeef -00800000 -deadbeef -48fe4258 -deadbeef -613d75d3 -deadbeef -66fb97ac -deadbeef -49a78013 -deadbeef -988de817 -deadbeef -caf50a29 -deadbeef -f3596998 -deadbeef -b3b562d4 -deadbeef -cad7c752 -deadbeef -acf5ad33 -deadbeef -fa2624f7 -deadbeef -ff0e3b36 -deadbeef -b754c610 -deadbeef -25534403 -deadbeef -8e38fd58 -deadbeef -95a1838e -deadbeef -c97259c6 -deadbeef -9054f86d -deadbeef -64f95b6c -deadbeef -22000004 -deadbeef -11000002 -deadbeef -08800001 -deadbeef -04400000 -deadbeef -02200000 -deadbeef -01100000 -deadbeef -00880000 -deadbeef -00440000 -deadbeef -00220000 -deadbeef -00110000 -deadbeef -00088000 -deadbeef -00044000 -deadbeef -00022000 -deadbeef -00011000 -deadbeef -00008800 -deadbeef -00004400 -deadbeef -00002200 -deadbeef -00001100 -deadbeef -00000880 -deadbeef -00000440 -deadbeef -00000220 -deadbeef -00000110 -deadbeef -00000088 -deadbeef -00000044 -deadbeef -00000022 -deadbeef -00000011 -deadbeef -00000008 -deadbeef -00000004 -deadbeef -00000002 -deadbeef -00000001 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -80000000 -deadbeef -40000000 -deadbeef -20000000 -deadbeef -10000000 -deadbeef -08000000 -deadbeef -04000000 -deadbeef -02000000 -deadbeef -01000000 -deadbeef -fff7ffff -deadbeef -77ffffef -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp1.reference_output deleted file mode 100644 index 3ca3a5ae3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -a2e80810 -f4656f6c -d961217c -6798a5d4 -8476d693 -6c023547 -11f9f1dc -4c2b5063 -ee060e23 -b3d4af9c -0dd09a3f -9c55496a -683c9e92 -fb777e0d -e3c5c7fe -8f7548c5 -acf6b0ac -4f0e7329 -064b5fde -fa4c54a2 -f9550431 -a638b0fc -00e1a410 -a38b1ba1 -a03d8633 -29c6d61c -5fc279cc -d63929e3 -53074732 -6fef7bd6 -a89b2f61 -262ca7e5 -a7b98b50 -82a18c44 -85d9f49f -f3461900 -adbb951d -2f1add33 -04d557ae -73c85a6d -56913d4c -a32d78a1 -1afc995c -eacaece0 -e50366a3 -1535131f -d625f8df -0c6725bc -301a5e8c -4320e079 -d989213f -9ff367d7 -1515b62e -d5a6539a -cde4023a -783a692b -28143244 -4546fcd2 -1a0fcf81 -c2836a06 -b278af09 -ceea7d4a -4d8750f6 -311582b5 -d6d5b6ce -26575c5d -c173054d -98607a13 -a76335cb -d5dd100d -b1a509ca -bd7bb672 -d108d726 -5c008834 -b8b90b99 -61069c03 -964e2340 -c2f9ebc8 -75b1005e -e1981c48 -8a4effa1 -1e67e3b7 -41c6b234 -bd83b67d -2e3496b0 -5aff3a37 -97e6736f -98054e6e -c4490a21 -3441a740 -e44900e5 -ca6eb893 -4a013944 -8c3c4ebe -51b7c65e -b9ad09d2 -4a610436 -01c5933c -b59efbc9 -fe3a6cc3 -18496d21 -b866479b -1f569414 -2242ab36 -cd9ecdb5 -6895a163 -ee915c98 -58d5d905 -5e7aab0d -a7b03dcc -e8119989 -ac9e1ba5 -4994cd7b -f4d1d996 -adc6dcda -cd95e415 -52392325 -326a1bea -0f65a0a0 -5f2a25d6 -4e022b5d -01aed9b2 -046d5de1 -0cdd001c -81fd5f28 -f909502b -80d86322 -3ea924c8 -ff2041cf -2eb8ca7c -8007dd12 -efee114b -480b1716 -26cf07ad -b7f4e8e9 -d930f852 -7dfda0b2 -f92aad54 -47787ddf -40d9a430 -c770a0cc -50eb92e4 -f261884d -c35a255f -3ac9980c -ecdd4d7a -b5c1deae -9c215786 -70f7b95d -8f03e503 -c38a9e11 -8a992413 -3c7561ee -7566dbec -0c721591 -24a25aa0 -34ba63f9 -8326e2ab -c3c2aa9b -f422fda7 -121290f0 -bed9e518 -9579203c -6bf7dd99 -794b7ed0 -19838e9e -13cda113 -8d8bacf8 -d1188e13 -9003339c -2ee771ec -6ffccc63 -ea532d08 -3f220927 -22c9c2dc -ec97dad6 -0efc21c8 -5f0c2dde -d235139a -6e5e995f -0e1f9315 -a5663864 -087f9487 -6bd81cbd -f99ff86d -3141db26 -2ccaf8f5 -8cd346ab -d335070a -732cb954 -dab51b9a -6f9ee25f -fcdf5308 -07627f11 -033f5a9a -d620a3eb -d295e997 -7a9113e5 -c0d3767b -2ed17e14 -e9712124 -85544ad5 -d65da8a0 -547acb3e -ce1ed184 -57c26a21 -31e12e7b -a83d95de -24da1627 -7c995be6 -2adf56f3 -2e064048 -5481ae52 -d98b7509 -e49adff7 -990c7a8f -0f50ed70 -8fd1cf1d -afdb6983 -8e2a718e -5f747b0c -fe04416c -9395682c -44df7dad -6c6a97d3 -bb208252 -e49cdff7 -9af382af -11d91295 -1fd1c31d -b2649788 -21cd81b0 -52135d56 -2de37f54 -8992ad76 -0824355b -d5a529ec -7cd1988e -a3c87b65 -8b0a522a -6ee5a2fa -e61eaad6 -911a5d05 -19e15529 -6a5c5e66 -ce0c8056 -122b35a6 -4537a59e -6e62ba40 -ba2d5373 -c3e3c21c -d0bc9a3a -400de13a -e595873c -3a10d2f0 -73c46959 -85e2cc35 -69ae119a -d8b861fb -4e9a0db5 -27479e04 -b165f24a -341c25a0 -dd3f62c5 -83cb02c6 -fd714da0 -fde83690 -93c33c05 -2dc51a2a -072cdbff -4c00ea08 -d1b47ff5 -0446abfd -4aa5ac76 -b7b9be0a -64ee2c7c -3fdf841e -a9f0d65c -c0207be1 -560f29a3 -adc99dd4 -374edbff -331afb39 -eff33426 -7b613d2e -8b0507a9 -74bd2e93 -971acc3b -ca2882bd -ec50e73a -297ed021 -7f5191aa -1ca9ad63 -6cfe896f -3651cac8 -695b3c13 -c9ae3537 -96a4c3ec -7b41ceab -971d313c -dd757d4f -d7391954 -c0cbcfeb -6a3a8fe5 -f7f576a7 -2ab7ea92 -037a39dd -ce4e9ac9 -2898e114 -0b9e015d -d41d2736 -3a2f646b -d3a8b2c1 -e0ff68a5 -2c574d3e -1f00975a -07fa8737 -b5380c9b -aa904212 -f090c4ed -d6731adb -b6616081 -b287d885 -6d8e7a4d -35136183 -b2edaeb6 -04d364f3 -aa3ab552 -ce3ffa8f -e728e41b -ee9a5f02 -197b7a94 -1165a0fd -e684856b -b507e365 -8e307d8d -5b92a160 -b395d8bc -8d52a6d0 -cc6cf4a0 -51704b2a -a57f8862 -56c36e59 -0f488484 -5b865286 -25154424 -f2bac320 -d5a23f5f -e2c2e75a -13b23e81 -1d3d18a5 -ec4dc17e -697ab4d4 -b9800962 -4d8dffb0 -cf53753a -5ad33c8f -f9b135da -9b07ed10 -afb2f00c -f46693a6 -494b16d1 -ac0e83fd -8b3a2a33 -a797efa4 -3d8ec31d -6eaf30db -cbaa32af -9150cf24 -3455cd50 -1bd80da6 -303509d1 -b6283312 -dd7ca0df -0e90436d -9fedddc2 -5ce4e7ad -7a9113c2 -dd44ac23 -4670c693 -58d124a2 -d8d7a99b -7a6a777e -615890f7 -d8d09b7b -3b9b280f -272f6484 -c464d7f0 -5f06f7ad -82ddebd3 -0378c52f -8af2e8bb -890e4db0 -299a57c3 -939e1ac3 -24eeb7cf -135fddc4 -0a75958c -15f11c94 -195fe4fa -f9513eaf -ecd58e89 -0e73f1d7 -f1e8e0fc -f18c0e28 -0e171f03 -9bdf9ce3 -4aef382f -5f10fe15 -837816c9 -65bfbf65 -96a2883f -f9da381e -374b8630 -f6547cdd -7603bde0 -24429275 -459bde24 -2de91157 -cc679c3b -3c044f10 -1c9c360f -c3fbb0ef -e363c9f0 -fde3c55e -386c49b8 -9b91fed3 -38519e48 -6da8ed7b -6bea020d -fdbc23ec -fb24d57d -96d51bf5 -39b60c4b -6c7c6290 -143e5c29 -0556869a -d277af9d -18fa6fd8 -754f5600 -e7059027 -8ab0a9ff -01bed3ee -0434d582 -946a9cfe -3a434eb7 -8f141663 -67cb9f1a -01be696c -3edc9bee -ff5ce8db -c8eb2bf1 -f2deb01f -7b0c174a -f27da73b -4c18c344 -a064f908 -575addb6 -5f9b06f7 -a8a52249 -19be8a6c -4122e410 -1f64f1e7 -8326cb86 -2ce74aab -370e0841 -52df1cb0 -0e8b61f7 -07a7da7b -4e455601 -47773f9c -6356640f -bf2f1a18 -d2eccdf1 -08d3e511 -563ae885 -f72c1aee -a9c5177a -52e0df3e -50ab8607 -66b4caf8 -489fa6eb -a785b0df -75b2d8f9 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp2.reference_output deleted file mode 100644 index 583573940..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -4cee0633 -47b1c0f0 -7b89296c -93fdcab8 -ee060e23 -b3d4af9c -7dc59a3a -d2d6b877 -52a9433b -35db1d22 -a749f9c5 -cf84b683 -1549b6ae -d8b5e90f -08ceac39 -854a9657 -e00b4442 -306c132e -53e8eb43 -137a9777 -59892612 -2c757d41 -ff1e5bef -5c74e45e -5fc279cc -d63929e3 -6b9f15c4 -dc338383 -0c836d5a -53d78848 -9a6ab329 -5ae6a228 -b4853c61 -7422087a -acca7f0d -432779ee -bf608ba7 -94a0396b -2cb7362c -af949e5e -48b8f3be -3a2fce2c -a96ec2b3 -5cd2875e -e50366a3 -1535131f -708cc1b6 -9d02fc90 -962b5f6e -7e8f952b -0b54aa22 -953b00b0 -d3a6b207 -da075bf7 -3c53d0e3 -224c0601 -68159858 -b72a684b -f0e58650 -e8dac663 -806352cc -492feb9e -e5f0307e -3d7c95f9 -4d8750f6 -311582b5 -aaac3142 -8c8a18b2 -45a04517 -900fc712 -6f9fb997 -785036de -1d720d82 -670ff088 -a7298c66 -95a4d257 -4e81a705 -7950673c -d814d575 -807da245 -5b462887 -42676b83 -69b1dcbf -3d061437 -8a4effa1 -1e67e3b7 -08208d09 -7f216822 -7e3f323e -0663b30b -dae98554 -14b91c79 -9cf34192 -48e82308 -c6880007 -c5ec6148 -9e93ddb2 -a47da2ca -6a013380 -7213516d -51d7fb2c -3454d450 -ae4839a1 -4652f62d -b59efbc9 -fe3a6cc3 -9e044706 -85986adb -329a53a5 -6014b524 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-01.reference_output deleted file mode 100644 index 1216d0f88..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -9945f84c -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -7ffefeff -deadbeef -bfff7f7f -deadbeef -dfffbfbf -deadbeef -efffdfdf -deadbeef -f7ffefef -deadbeef -fbfff7f7 -deadbeef -fdfffbfb -deadbeef -fefffdfd -deadbeef -ff7ffefe -deadbeef -7fbfff7f -deadbeef -bfdfffbf -deadbeef -dfefffdf -deadbeef -eff7ffef -deadbeef -f7fbfff7 -deadbeef -fbfdfffb -deadbeef -fdfefffd -deadbeef -feff7ffe -deadbeef -7f7fbfff -deadbeef -bfbfdfff -deadbeef -dfdfefff -deadbeef -efeff7ff -deadbeef -f7f7fbff -deadbeef -fbfbfdff -deadbeef -fdfdfeff -deadbeef -fefeff7f -deadbeef -ff7f7fbf -deadbeef -ffbfbfdf -deadbeef -ffdfdfef -deadbeef -ffefeff7 -deadbeef -fff7f7fb -deadbeef -fffbfbfd -deadbeef -fffdfdfe -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00020201 -deadbeef -d9217d2d -deadbeef -4de5f968 -deadbeef -bda6e514 -deadbeef -6decfd5c -deadbeef -bd986f74 -deadbeef -47a2ae11 -deadbeef -23c8dcdb -deadbeef -0b26483e -deadbeef -f64218e7 -deadbeef -a43f58a9 -deadbeef -f53972fd -deadbeef -78c5d629 -deadbeef -efe34f0e -deadbeef -3cf49177 -deadbeef -e4111619 -deadbeef -5187e8eb -deadbeef -7d82c94f -deadbeef -b80b391f -deadbeef -3ecac1d1 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -80010100 -deadbeef -40008080 -deadbeef -20004040 -deadbeef -10002020 -deadbeef -08001010 -deadbeef -04000808 -deadbeef -02000404 -deadbeef -01000202 -deadbeef -00800101 -deadbeef -80400080 -deadbeef -40200040 -deadbeef -20100020 -deadbeef -10080010 -deadbeef -08040008 -deadbeef -04020004 -deadbeef -02010002 -deadbeef -01008001 -deadbeef -80804000 -deadbeef -40402000 -deadbeef -20201000 -deadbeef -10100800 -deadbeef -08080400 -deadbeef -04040200 -deadbeef -02020100 -deadbeef -01010080 -deadbeef -00808040 -deadbeef -00404020 -deadbeef -00202010 -deadbeef -00101008 -deadbeef -00080804 -deadbeef -00040402 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp1.reference_output deleted file mode 100644 index 0cb6b3d55..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -c602f5f0 -ffffffff -bd8bdc9c -6c023547 -8476d693 -6c023547 -c4fcf976 -ffffffff -3b030689 -00000000 -0dd09a3f -9c55496a -989aff85 -ffffffff -142428f1 -93fdcab8 -acf6b0ac -4f0e7329 -20ceb018 -00000000 -dfd0ebf7 -5c74e45e -00e1a410 -a38b1ba1 -8b8985d2 -ffffffff -74767a2d -00000000 -53074732 -6fef7bd6 -d3ed859a -ffffffff -d30be189 -5c74e45e -85d9f49f -f3461900 -df0fc027 -ffffffff -76610294 -a32d78a1 -56913d4c -a32d78a1 -0e7308c3 -00000000 -f18cf73c -ffffffff -d625f8df -0c6725bc -6c6beb38 -00000000 -15daadeb -5cd2875f -1515b62e -d5a6539a -5225c02f -00000000 -b7d5f051 -3d7c95f9 -1a0fcf81 -c2836a06 -9a92f9aa -ffffffff -656d0655 -00000000 -d6d5b6ce -26575c5d -102586c8 -00000000 -f615b746 -3d7c95f9 -b1a509ca -bd7bb672 -e823ffe3 -ffffffff -8192235c -c2f9ebc8 -964e2340 -c2f9ebc8 -4c898ef0 -00000000 -b376710f -ffffffff -41c6b234 -bd83b67d -a8ca593a -ffffffff -127c35f9 -3d061437 -c4490a21 -3441a740 -421ec13b -00000000 -ec56f89a -4652f62d -51b7c65e -b9ad09d2 -b287d992 -ffffffff -4d78266d -00000000 -18496d21 -b866479b -50d11f83 -00000000 -ff195924 -4652f62d -ee915c98 -58d5d905 -7519b067 -00000000 -c37282e3 -0b2e2669 -4994cd7b -f4d1d996 -faf8a8c1 -ffffffff -0507573e -00000000 -0f65a0a0 -5f2a25d6 -8564fe75 -ffffffff -3bd030f9 -0b2e2669 -81fd5f28 -f909502b -c5120dd1 -ffffffff -baea2f3c -efee114b -8007dd12 -efee114b -3598f81d -00000000 -ca6707e2 -ffffffff -7dfda0b2 -f92aad54 -c7d83fb2 -ffffffff -47d0629f -1011eeb4 -f261884d -c35a255f -21eaf76a -00000000 -aee2b1c8 -70fc1afc -70f7b95d -8f03e503 -ed3fe253 -ffffffff -12c01dac -00000000 -0c721591 -24a25aa0 -c37b2f6d -ffffffff -5283760f -70fc1afc -121290f0 -bed9e518 -16d354f1 -00000000 -fae10a1d -72745307 -13cda113 -8d8bacf8 -caa9a0af -ffffffff -35565f50 -00000000 -ea532d08 -3f220927 -1618e87a -00000000 -024b4766 -72745308 -d235139a -6e5e995f -9f278254 -ffffffff -994785c6 -3141db26 -f99ff86d -3141db26 -36b4d0a1 -00000000 -c94b2f5e -ffffffff -dab51b9a -6f9ee25f -87b79b45 -ffffffff -8e17a2d7 -cebe24d8 -d295e997 -7a9113e5 -2a696319 -00000000 -03cb3446 -ab8534c1 -d65da8a0 -547acb3e -3c4d45b7 -00000000 -c3b2ba48 -ffffffff -24da1627 -7c995be6 -bcb811da -ffffffff -e65a6939 -ab8534c0 -e49adff7 -990c7a8f -6ecaf90b -00000000 -ce417df8 -01fbbe93 -5f747b0c -fe04416c -419add5a -00000000 -be6522a5 -ffffffff -e49cdff7 -9af382af -62ccf907 -00000000 -03587dfa -01fbbe94 -52135d56 -2de37f54 -ce0555d4 -ffffffff -9232d14e -8b0a522a -a3c87b65 -8b0a522a -c5f5f7b2 -ffffffff -3a0a084d -00000000 -6a5c5e66 -ce0c8056 -6e2c460a -00000000 -ca63caa4 -74f5add5 -c3e3c21c -d0bc9a3a -805e7c5c -ffffffff -fa434f96 -69ae119a -85e2cc35 -69ae119a -d811acfb -ffffffff -27ee5304 -00000000 -341c25a0 -dd3f62c5 -47170df0 -00000000 -c13441ba -9651ee65 -2dc51a2a -072cdbff -93a515fb -ffffffff -dbe3540e -64ee2c7c -b7b9be0a -64ee2c7c -b8d0c416 -ffffffff -472f3be9 -00000000 -adc99dd4 -374edbff -055b6f1c -00000000 -4da1b111 -9b11d383 -74bd2e93 -971acc3b -53c6e100 -00000000 -b090b39c -93017690 -1ca9ad63 -6cfe896f -15355209 -00000000 -eacaadf6 -ffffffff -7b41ceab -971d313c -658a6ede -00000000 -48e0c17a -93017691 -f7f576a7 -2ab7ea92 -f057d6a2 -ffffffff -dbb50e6b -3a2f646b -d41d2736 -3a2f646b -a03ee2a4 -ffffffff -5fc11d5b -00000000 -07fa8737 -b5380c9b -fc9ae6cd -ffffffff -287dbf96 -c5d09b94 -b287d885 -6d8e7a4d -0c3db6ef -00000000 -3dfdb39f -18d71be4 -ce3ffa8f -e728e41b -44d5796c -00000000 -bb2a8693 -ffffffff -b507e365 -8e307d8d -7c0a4200 -00000000 -adca4770 -18d71be4 -51704b2a -a57f8862 -27b2bd68 -00000000 -2af781b7 -2a5dc0a0 -f2bac320 -d5a23f5f -017d67b0 -00000000 -fe82984f -ffffffff -697ab4d4 -b9800962 -f5bbcef3 -ffffffff -03010bd2 -2a5dc0a0 -9b07ed10 -afb2f00c -4efcfa29 -00000000 -1694ea72 -c2713ce2 -a797efa4 -3d8ec31d -5701e9c4 -00000000 -a8fe163b -ffffffff -1bd80da6 -303509d1 -b08f7621 -ffffffff -08f7867c -c2713ce2 -5ce4e7ad -7a9113c2 -5a7104dd -00000000 -dfe48c5c -9ea76f08 -7a6a777e -615890f7 -40787f5e -00000000 -bf8780a1 -ffffffff -5f06f7ad -82ddebd3 -bdb3131e -ffffffff -43489b9f -9ea76f08 -939e1ac3 -24eeb7cf -9a2cbad8 -ffffffff -9c827b88 -ecd58e89 -f9513eaf -ecd58e89 -267393ff -00000000 -d98c6c00 -ffffffff -9bdf9ce3 -4aef382f -1d216c6b -00000000 -23d02dbb -132a7176 -f9da381e -374b8630 -3d97f659 -00000000 -ef8118f1 -339863c4 -2de91157 -cc679c3b -dd65e4de -ffffffff -229a1b21 -00000000 -fde3c55e -386c49b8 -b0d48362 -ffffffff -82eb720a -339863c4 -fdbc23ec -fb24d57d -c222016f -ffffffff -388b780a -d277af9d -0556869a -d277af9d -a56fb83d -ffffffff -5a9047c2 -00000000 -01bed3ee -0434d582 -dbc50c90 -ffffffff -d66e85f5 -2d885062 -01be696c -3edc9bee -afb4b213 -ffffffff -a236ead7 -4c18c344 -f27da73b -4c18c344 -47443424 -00000000 -b8bbcbdb -ffffffff -19be8a6c -4122e410 -70726122 -00000000 -7df4b9e6 -b3e73cbb -52df1cb0 -0e8b61f7 -d586d9ab -ffffffff -95563c4c -d2eccdf1 -bf2f1a18 -d2eccdf1 -d52a5538 -ffffffff -2ad5aac7 -00000000 -52e0df3e -50ab8607 -2d22065a -00000000 -6df2ec41 -2d13320e -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp2.reference_output deleted file mode 100644 index 6bb86437b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -fd01f379 -ffffffff -7b89296c -93fdcab8 -3b030689 -00000000 -7dc59a3a -d2d6b877 -c285154a -ffffffff -a749f9c5 -cf84b683 -c7313c18 -ffffffff -08ceac39 -854a9657 -cde4cfb5 -ffffffff -53e8eb43 -137a9777 -54b8ca35 -00000000 -ff1e5bef -5c74e45e -74767a2d -00000000 -6b9f15c4 -dc338383 -7e3c4a2d -00000000 -9a6ab329 -5ae6a228 -295fd4c8 -00000000 -acca7f0d -432779ee -c62f3dc0 -ffffffff -2cb7362c -af949e5e -2e83371b -00000000 -a96ec2b3 -5cd2875e -f18cf73c -ffffffff -708cc1b6 -9d02fc90 -ea634c4e -ffffffff -0b54aa22 -953b00b0 -f644f89d -ffffffff -3c53d0e3 -224c0601 -3a346e3c -00000000 -f0e58650 -e8dac663 -3748c67a -00000000 -e5f0307e -3d7c95f9 -656d0655 -00000000 -aaac3142 -8c8a18b2 -904be14f -ffffffff -6f9fb997 -785036de -23c24877 -00000000 -a7298c66 -95a4d257 -ecfc0f7b -ffffffff -d814d575 -807da245 -5b558eec -00000000 -69b1dcbf -3d061437 -b376710f -ffffffff -08208d09 -7f216822 -53288f58 -00000000 -dae98554 -14b91c79 -034a9932 -00000000 -c6880007 -c5ec6148 -d687829a -ffffffff -6a013380 -7213516d -0f66e756 -00000000 -ae4839a1 -4652f62d -4d78266d -00000000 -9e044706 -85986adb -18877632 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-01.reference_output deleted file mode 100644 index 36106f46b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-01.reference_output +++ /dev/null @@ -1,300 +0,0 @@ -2691a37c -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -00000000 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef -7fbfbfff -deadbeef -bfdfdfff -deadbeef -dfefefff -deadbeef -eff7f7ff -deadbeef -f7fbfbff -deadbeef -fbfdfdff -deadbeef -fdfefeff -deadbeef -feff7f7f -deadbeef -ff7fbfbf -deadbeef -ffbfdfdf -deadbeef -ffdfefef -deadbeef -ffeff7f7 -deadbeef -fff7fbfb -deadbeef -fffbfdfd -deadbeef -fffdfefe -deadbeef -7ffeff7f -deadbeef -bfff7fbf -deadbeef -dfffbfdf -deadbeef -efffdfef -deadbeef -f7ffeff7 -deadbeef -fbfff7fb -deadbeef -fdfffbfd -deadbeef -fefffdfe -deadbeef -7f7ffeff -deadbeef -bfbfff7f -deadbeef -dfdfffbf -deadbeef -efefffdf -deadbeef -f7f7ffef -deadbeef -fbfbfff7 -deadbeef -fdfdfffb -deadbeef -fefefffd -deadbeef -ff7f7ffe -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00808001 -deadbeef -c1786071 -deadbeef -d86c820f -deadbeef -90826890 -deadbeef -2d25edc5 -deadbeef -f4a74528 -deadbeef -7237021d -deadbeef -59350989 -deadbeef -949ecc9d -deadbeef -ef813217 -deadbeef -707b6d0c -deadbeef -e2aa4249 -deadbeef -61196a50 -deadbeef -097695a7 -deadbeef -1c93fa5b -deadbeef -8f03f583 -deadbeef -82537a7e -deadbeef -0e2cf4af -deadbeef -584138b4 -deadbeef -a9e2ed42 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -00000000 -deadbeef -80404000 -deadbeef -40202000 -deadbeef -20101000 -deadbeef -10080800 -deadbeef -08040400 -deadbeef -04020200 -deadbeef -02010100 -deadbeef -01008080 -deadbeef -00804040 -deadbeef -00402020 -deadbeef -00201010 -deadbeef -00100808 -deadbeef -00080404 -deadbeef -00040202 -deadbeef -00020101 -deadbeef -80010080 -deadbeef -40008040 -deadbeef -20004020 -deadbeef -10002010 -deadbeef -08001008 -deadbeef -04000804 -deadbeef -02000402 -deadbeef -01000201 -deadbeef -80800100 -deadbeef -40400080 -deadbeef -20200040 -deadbeef -10100020 -deadbeef -08080010 -deadbeef -04040008 -deadbeef -02020004 -deadbeef -01010002 -deadbeef -ffffffff -deadbeef -ffffffff -deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp1.reference_output deleted file mode 100644 index d2a02542b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp1.reference_output +++ /dev/null @@ -1,488 +0,0 @@ -e9ce59bf -9baab409 -1ae54a74 -00000000 -616c6318 -93fdcab8 -8476d693 -6c023547 -a6fd2fc3 -ffffffff -5902d03c -00000000 -0dd09a3f -9c55496a -5f49f49a -00000000 -dad31e06 -93fdcab8 -acf6b0ac -4f0e7329 -a2f69d8f -ffffffff -5de8c660 -a38b1ba1 -00e1a410 -a38b1ba1 -dae9d4b2 -ffffffff -25162b4d -00000000 -53074732 -6fef7bd6 -69b7ed12 -00000000 -68d64901 -5c74e45f -85d9f49f -f3461900 -3054da89 -00000000 -993a183a -5cd2875e -56913d4c -a32d78a1 -6e1c5e9a -00000000 -91e3a165 -ffffffff -d625f8df -0c6725bc -45a10131 -00000000 -ef0fc3e4 -5cd2875e -1515b62e -d5a6539a -d908367f -ffffffff -3cf80601 -c2836a06 -1a0fcf81 -c2836a06 -3d424561 -00000000 -c2bdba9e -ffffffff -d6d5b6ce -26575c5d -6ad9b77f -00000000 -50c9e7fd -3d7c95fa -b1a509ca -bd7bb672 -d018839c -ffffffff -b9a95f23 -c2f9ebc8 -964e2340 -c2f9ebc8 -27a54f76 -00000000 -d85ab089 -ffffffff -41c6b234 -bd83b67d -02fc718e -00000000 -6cae4e4d -3d061437 -c4490a21 -3441a740 -51bbcc80 -00000000 -fff3f521 -4652f62d -51b7c65e -b9ad09d2 -9db03566 -ffffffff -624fca99 -00000000 -18496d21 -b866479b -3e55c5b3 -00000000 -ec9dff54 -4652f62d -ee915c98 -58d5d905 -0caa637e -00000000 -bac151fa -0b2e2669 -4994cd7b -f4d1d996 -928da3d7 -ffffffff -6d725c28 -00000000 -0f65a0a0 -5f2a25d6 -8f3215c2 -ffffffff -459d4846 -0b2e2669 -81fd5f28 -f909502b -ba29e179 -ffffffff -c5d1c394 -efee114b -8007dd12 -efee114b -e7ce9eff -ffffffff -18316100 -00000000 -7dfda0b2 -f92aad54 -f49a609c -ffffffff -74928389 -1011eeb4 -f261884d -c35a255f -10be41b9 -00000000 -9fb6071b -70fc1afc -70f7b95d -8f03e503 -02e17afa -00000000 -fd1e8505 -ffffffff -0c721591 -24a25aa0 -ce3caaa2 -ffffffff -5d44f144 -70fc1afc -121290f0 -bed9e518 -226390b1 -00000000 -ce51ce5d -72745307 -13cda113 -8d8bacf8 -4acdce25 -00000000 -b53231da -ffffffff -ea532d08 -3f220927 -f8a271b7 -ffffffff -e4d4d0a3 -72745307 -d235139a -6e5e995f -96916009 -ffffffff -90f1679b -3141db26 -f99ff86d -3141db26 -3355cb5e -00000000 -ccaa34a1 -ffffffff -dab51b9a -6f9ee25f -9a152c4d -ffffffff -a07533df -cebe24d8 -d295e997 -7a9113e5 -edb74a29 -ffffffff -c4151d76 -547acb3e -d65da8a0 -547acb3e -5266ed5a -00000000 -ad9912a5 -ffffffff -24da1627 -7c995be6 -3c5be941 -00000000 -65fe40a0 -ab8534c1 -e49adff7 -990c7a8f -709360d5 -00000000 -d018e426 -01fbbe93 -5f747b0c -fe04416c -e4ddee8b -ffffffff -1b221174 -00000000 -e49cdff7 -9af382af -709563d6 -00000000 -1120e8c9 -01fbbe94 -52135d56 -2de37f54 -57917df1 -00000000 -0ba6f96b -74f5add5 -a3c87b65 -8b0a522a -2cab4ebc -00000000 -d354b143 -ffffffff -6a5c5e66 -ce0c8056 -765a4567 -00000000 -d291ca01 -74f5add5 -c3e3c21c -d0bc9a3a -2c8c520c -00000000 -569161c6 -9651ee65 -85e2cc35 -69ae119a -f93affa2 -ffffffff -06c5005d -00000000 -341c25a0 -dd3f62c5 -f6d631bc -ffffffff -70f36586 -9651ee65 -2dc51a2a -072cdbff -b5c6ee45 -ffffffff -fd80afb0 -64ee2c7c -b7b9be0a -64ee2c7c -6de73909 -00000000 -9218c6f6 -ffffffff -adc99dd4 -374edbff -89752ffe -ffffffff -d1bb71f3 -9b11d382 -74bd2e93 -971acc3b -aa4eca5a -ffffffff -491898c6 -6cfe896f -1ca9ad63 -6cfe896f -7b9677e1 -00000000 -8469881e -ffffffff -7b41ceab -971d313c -c9a9d3ec -ffffffff -ad002688 -93017690 -f7f576a7 -2ab7ea92 -1f5d77e6 -00000000 -34bfaf2f -c5d09b94 -d41d2736 -3a2f646b -dcec43ab -ffffffff -2313bc54 -00000000 -07fa8737 -b5380c9b -dfe2f989 -ffffffff -0bc5d252 -c5d09b94 -b287d885 -6d8e7a4d -1c1c422a -00000000 -2ddc475a -18d71be4 -ce3ffa8f -e728e41b -749f026d -00000000 -8b60fd92 -ffffffff -b507e365 -8e307d8d -f66fba17 -ffffffff -282fbf87 -18d71be4 -51704b2a -a57f8862 -e1cddbb7 -ffffffff -ec88e768 -d5a23f5f -f2bac320 -d5a23f5f -0353e71c -00000000 -fcac18e3 -ffffffff -697ab4d4 -b9800962 -59243d33 -00000000 -66697a12 -2a5dc0a0 -9b07ed10 -afb2f00c -e5c22365 -ffffffff -bdaa333e -3d8ec31d -a797efa4 -3d8ec31d -82167798 -ffffffff -7de98867 -00000000 -1bd80da6 -303509d1 -ce06ec4c -ffffffff -266efca7 -c2713ce2 -5ce4e7ad -7a9113c2 -f99c3bac -ffffffff -7c09b32d -615890f7 -7a6a777e -615890f7 -fee87f70 -ffffffff -0117808f -00000000 -5f06f7ad -82ddebd3 -f27fdb55 -ffffffff -781563d6 -9ea76f08 -939e1ac3 -24eeb7cf -ff361c01 -ffffffff -f998dd51 -ecd58e89 -f9513eaf -ecd58e89 -31fa6a98 -00000000 -ce059567 -ffffffff -9bdf9ce3 -4aef382f -2463bec2 -00000000 -2b128012 -132a7176 -f9da381e -374b8630 -eaa9a9ef -ffffffff -38bf4747 -cc679c3b -2de91157 -cc679c3b -0ed4732b -00000000 -f12b8cd4 -ffffffff -fde3c55e -386c49b8 -b0324a4d -ffffffff -824938f5 -339863c4 -fdbc23ec -fb24d57d -1a348323 -00000000 -e09dfa46 -2d885062 -0556869a -d277af9d -0b192f72 -00000000 -f4e6d08d -ffffffff -01bed3ee -0434d582 -9f490c58 -ffffffff -99f285bd -2d885062 -01be696c -3edc9bee -8308b687 -ffffffff -8e8aee43 -4c18c344 -f27da73b -4c18c344 -bc1960d6 -ffffffff -43e69f29 -00000000 -19be8a6c -4122e410 -6a8459f6 -00000000 -7806b2ba -b3e73cbb -52df1cb0 -0e8b61f7 -84ae5a51 -ffffffff -c47ebfb6 -d2eccdf1 -bf2f1a18 -d2eccdf1 -3e7cd202 -00000000 -c1832dfd -ffffffff -52e0df3e -50ab8607 -a2568621 -ffffffff -e3276c08 -2d13320d -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp2.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp2.reference_output deleted file mode 100644 index 8a8dcc656..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp2.reference_output +++ /dev/null @@ -1,112 +0,0 @@ -924770d3 -08577eb1 -43e79a48 -00000000 -7b89296c -93fdcab8 -5902d03c -00000000 -7dc59a3a -d2d6b877 -ade64615 -ffffffff -a749f9c5 -cf84b683 -b9788e9d -ffffffff -08ceac39 -854a9657 -42564f08 -00000000 -53e8eb43 -137a9777 -87e0b6c2 -ffffffff -ff1e5bef -5c74e45e -25162b4d -00000000 -6b9f15c4 -dc338383 -0348ef81 -00000000 -9a6ab329 -5ae6a228 -57334b45 -00000000 -acca7f0d -432779ee -159acc57 -00000000 -2cb7362c -af949e5e -a1b77bec -ffffffff -a96ec2b3 -5cd2875e -91e3a165 -ffffffff -708cc1b6 -9d02fc90 -cb6fbf90 -ffffffff -0b54aa22 -953b00b0 -4f4005dd -00000000 -3c53d0e3 -224c0601 -a5bc6722 -ffffffff -f0e58650 -e8dac663 -1bb58ce1 -00000000 -e5f0307e -3d7c95f9 -c2bdba9e -ffffffff -aaac3142 -8c8a18b2 -1358320c -00000000 -6f9fb997 -785036de -78e3c184 -00000000 -a7298c66 -95a4d257 -52494b34 -00000000 -d814d575 -807da245 -08423315 -00000000 -69b1dcbf -3d061437 -d85ab089 -ffffffff -08208d09 -7f216822 -ca20195f -ffffffff -dae98554 -14b91c79 -b22e9ce2 -ffffffff -c6880007 -c5ec6148 -c568a743 -ffffffff -6a013380 -7213516d -33f40619 -00000000 -ae4839a1 -4652f62d -624fca99 -00000000 -9e044706 -85986adb -3ec80a27 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-01.reference_output deleted file mode 100644 index 152a36d41..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-01.reference_output +++ /dev/null @@ -1,564 +0,0 @@ -3031cefc -00000000 -96d167a5 -ffffffff -8c898ebb -ffffffff -c9967a60 -ffffffff -22aec608 -00000000 -e6bfeae8 -ffffffff -3232f32b -00000000 -04953bf1 -00000000 -ecde94d8 -ffffffff -24a9cd7a -00000000 -0adb9eb2 -00000000 -6464197d -00000000 -fac3cd71 -ffffffff -3b68e1f7 -00000000 -7101171e -00000000 -00000000 -00000000 -4b4b09aa -00000000 -8fac5730 -ffffffff -fcc35ba8 -ffffffff -9a40e0a5 -ffffffff -79f9277c -00000000 -390605c2 -00000000 -a1dd8081 -ffffffff -4413ab47 -00000000 -1a1b8561 -00000000 -93dbd8b1 -ffffffff -c2271d1c -ffffffff -d4321ed7 -ffffffff -a4a52d73 -ffffffff -ab45461b -ffffffff -8b7f0001 -ffffffff -ac237baf -ffffffff -9796ed8c -ffffffff -537271d0 -00000000 -bf8bc4c5 -ffffffff -802d5d83 -ffffffff -1b1acb22 -00000000 -c2cac9e5 -ffffffff -1ddb2a2b -00000000 -0955b00a -00000000 -7a7b891d -00000000 -7ff8fb6e -00000000 -2e34f2f3 -00000000 -52853f51 -00000000 -fcfd2f39 -ffffffff -85cfccaf -ffffffff -722dbbba -00000000 -428e2841 -00000000 -c1c06e49 -ffffffff -651b189f -00000000 -95493c3d -ffffffff -b9762fba -ffffffff -d3d2eddc -ffffffff -859695ce -ffffffff -0c4e9e9f -00000000 -0eab790d -00000000 -6b6af045 -00000000 -ab181b6a -ffffffff -6dbe0b0a -00000000 -4c3ca84f -00000000 -39386380 -00000000 -7ff4f75e -00000000 -e6231110 -ffffffff -d01410d3 -ffffffff -fffedff6 -ffffffff -9a24276f -ffffffff -a9453c3d -ffffffff -e41c28e7 -ffffffff -454476e1 -00000000 -8acbc88c -ffffffff -92e2bcbd -ffffffff -a81470ab -ffffffff -8b8adc99 -ffffffff -73a9aa13 -00000000 -90f8a0a1 -ffffffff -8bda9988 -ffffffff -b7b6d6ab -ffffffff -1d8c8f54 -00000000 -6ad57b7a -00000000 -abddb2a8 -ffffffff -f1f04a7d -ffffffff -403f3cbe -00000000 -7d8e3332 -00000000 -b41c68b7 -ffffffff -2d2c7897 -00000000 -057774cf -00000000 -d47c1415 -ffffffff -4042be43 -00000000 -b3b2beb3 -ffffffff -aefffce8 -ffffffff -e18dd4d5 -ffffffff -0ad76509 -00000000 -0e0fd561 -00000000 -e6a3a0f0 -ffffffff -805d6968 -ffffffff -d86e02db -ffffffff -e0e16335 -ffffffff -200e0d9f -00000000 -3f048b8a -00000000 -444cb847 -00000000 -c8c96c16 -ffffffff -a8000305 -ffffffff -8c012120 -ffffffff -0b872008 -00000000 -acad6e6c -ffffffff -781714c6 -00000000 -e4dc9091 -ffffffff -e6f8b6e5 -ffffffff -9f9ea491 -ffffffff -311e1d8a -00000000 -46cc2e2f -00000000 -7c538b7f -00000000 -d3d2b8cd -ffffffff -432320c1 -00000000 -6a408a8b -00000000 -3ac55f39 -00000000 -a9a8212a -ffffffff -6f5e5dac -00000000 -ad645554 -ffffffff -d10f42d2 -ffffffff -29283285 -00000000 -f4151678 -ffffffff -28ef5f5e -00000000 -a91425aa -ffffffff -4c4d6fb9 -00000000 -a3040732 -ffffffff -cbbfe0e1 -ffffffff -2d3a832e -00000000 -141576f4 -00000000 -92c7c4c4 -ffffffff -89e0f9f8 -ffffffff -43a67540 -00000000 -fdfc0867 -ffffffff -79b5b641 -00000000 -7eb44647 -00000000 -507aa653 -00000000 -01002ca3 -00000000 -ae0c0f2b -ffffffff -9ecbdddc -ffffffff -0eea6c0d -00000000 -6c6d7395 -00000000 -1dcdce55 -00000000 -0f24afae -00000000 -793bc67a -00000000 -2c2d6cc6 -00000000 -e2545737 -ffffffff -bb5a6160 -ffffffff -9c465a9f -ffffffff -8283c0c0 -ffffffff -04e1e298 -00000000 -7d747574 -00000000 -a74893a4 -ffffffff -4e4f3000 -00000000 -9d0003e4 -ffffffff -b0925a5b -ffffffff -68bdad6b -00000000 -f9f8db58 -ffffffff -7c2b2822 -00000000 -30286c6d -00000000 -77767574 -00000000 -2425a2f0 -00000000 -693b3823 -00000000 -54c9edec -00000000 -3ca2ee3f -00000000 -0d0cec93 -00000000 -b8828157 -ffffffff -12e29c9d -00000000 -e74cc7e4 -ffffffff -fbfa48dd -ffffffff -f07477ed -ffffffff -12f28889 -00000000 -ef4bccec -ffffffff -6f6e686d -00000000 -411f1c3b -00000000 -69505d5c -00000000 -f742d1f4 -ffffffff -1f1e7b02 -00000000 -49e8ebc0 -00000000 -b1f72627 -ffffffff -023b5901 -00000000 -eeef038f -ffffffff -38737016 -00000000 -506d6160 -00000000 -f0b61af3 -ffffffff -bcbda644 -ffffffff -ba8e8d6d -ffffffff -c4b32f2e -ffffffff -020d5701 -00000000 -9a9b28e8 -ffffffff -2d9c9fe4 -00000000 -d4d35352 -ffffffff -fa3c92f9 -ffffffff -f6f73c9c -ffffffff -f47c7fd9 -ffffffff -8ae03a3b -ffffffff -c237a5c1 -ffffffff -969721e5 -ffffffff -322a2955 -00000000 -7382bdbc -00000000 -2a167029 -00000000 -4140ccc3 -00000000 -43e8ebe2 -00000000 -05397475 -00000000 -5dcdd85e -00000000 -d0d1ac36 -ffffffff -4bb5b6bb -00000000 -e27ddbda -ffffffff -5b415e58 -00000000 -5a5b031f -00000000 -11a8abf8 -00000000 -54091d1c -00000000 -8e30fe8d -ffffffff -8c8db17f -ffffffff -2dd5d6c5 -00000000 -a9fa6f6e -ffffffff -40e29e43 -00000000 -3d3cbfbc -00000000 -05a0a39c -00000000 -04f9c5c4 -00000000 -05b78a06 -00000000 -eeef4d99 -ffffffff -3a90939f -00000000 -2b5a4544 -00000000 -f9874afa -ffffffff -a4a5d240 -ffffffff -f26467a7 -ffffffff -d87a9293 -ffffffff -133a1910 -00000000 -1415fbdd -00000000 -6023206e -00000000 -24090100 -00000000 -7e7b297d -00000000 -e3e21ed3 -ffffffff -c1a3a04b -ffffffff -85369b9a -ffffffff -14e6da17 -00000000 -9c9dc771 -ffffffff -03f5f6d3 -00000000 -76366465 -00000000 -2e656f2d -00000000 -5554bbc8 -00000000 -b1cbc85b -ffffffff -b9da4342 -ffffffff -9cce729f -ffffffff -6564b2f5 -00000000 -a186853a -ffffffff -0c415150 -00000000 -0e5b490d -00000000 -b5b4b51e -ffffffff -e67c7f83 -ffffffff -1d040100 -00000000 -019e8702 -00000000 -3e3f5175 -00000000 -b9cfcc63 -ffffffff -8fe47f7e -ffffffff -8a70ee89 -ffffffff -bbba3e93 -ffffffff -dc1d1ed0 -ffffffff -17060100 -00000000 -e9ae57ea -ffffffff -bbba3b92 -ffffffff -c50506cd -ffffffff -1b4a5d5c -00000000 -d5ba63d6 -ffffffff -57561b42 -00000000 -1a1e1d0d -00000000 -2796b9b8 -00000000 -e4f21ee7 -ffffffff -abaa2180 -ffffffff -01fdfef9 -00000000 -ed4ba2a3 -ffffffff -4594d546 -00000000 -5c5dd08a -00000000 -68dcdfb5 -00000000 -3ecbf5f4 -00000000 -84e06487 -ffffffff -f0f591d8 -ffffffff -74d5849e -00000000 -de4ffdae -ffffffff -cf276629 -ffffffff -3405c537 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-rwp1.reference_output deleted file mode 100644 index b2409420d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-rwp1.reference_output +++ /dev/null @@ -1,52 +0,0 @@ -4591d4d6 -00000000 -68d4a091 -00000000 -055fc122 -00000000 -0348bb8c -00000000 -65d2b9db -00000000 -1eb7e4d0 -00000000 -461020b4 -00000000 -2094b1a4 -00000000 -e0d19134 -ffffffff -61d2a158 -00000000 -a85e3a29 -ffffffff -4702a286 -00000000 -4a7d716d -00000000 -c022d77e -ffffffff -7a2fdfd2 -00000000 -cae8ca49 -ffffffff -d12f7a1b -ffffffff -42be5d4e -00000000 -d840701d -ffffffff -f848c5c4 -ffffffff -586edf94 -00000000 -79c264f9 -00000000 -3eacc2da -00000000 -30e75484 -00000000 -fb4c88b9 -ffffffff -8f75a8a6 -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-01.reference_output deleted file mode 100644 index e02983204..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-01.reference_output +++ /dev/null @@ -1,564 +0,0 @@ -831b67cc -ffffffff -f6b00dd0 -ffffffff -5977fccf -00000000 -66b6595a -00000000 -765a1017 -00000000 -b5726738 -ffffffff -d7da59d6 -ffffffff -a4300751 -ffffffff -f77d94db -ffffffff -0da6e512 -00000000 -8c2f0ea0 -ffffffff -9aa8e8ca -ffffffff -99e629b5 -ffffffff -3b76c00e -00000000 -9ab78bb6 -ffffffff -bec082ef -ffffffff -a46ce102 -ffffffff -13d04f04 -00000000 -616f949a -00000000 -00000000 -00000000 -c9ca89e5 -ffffffff -c13efae3 -ffffffff -d0a11d77 -ffffffff -e5952b3c -ffffffff -df71c185 -ffffffff -b3faf0dc -ffffffff -e7c3d97f -ffffffff -629f3298 -00000000 -1b60922f -00000000 -1b76e882 -00000000 -c98b557b -ffffffff -e98e05f4 -ffffffff -f7f5f9ec -ffffffff -d3f2f5e0 -ffffffff -d5bf5179 -ffffffff -e89c2d70 -ffffffff -b3f5ecca -ffffffff -e6ce6ce8 -ffffffff -971fcd72 -ffffffff -78b254cc -00000000 -4f62df89 -00000000 -6f6af9aa -00000000 -882c29f0 -ffffffff -e6dd8728 -ffffffff -4b728b2d -00000000 -ada6ecc1 -ffffffff -ac710576 -ffffffff -6ad28cc4 -00000000 -c777a16d -ffffffff -9d22eedf -ffffffff -d895016e -ffffffff -f1a97720 -ffffffff -63e3e7ec -00000000 -cd9edfd5 -ffffffff -b70eddc6 -ffffffff -d1e4a95c -ffffffff -7f5bcbf1 -00000000 -6bdacf80 -00000000 -816e59ce -ffffffff -47a83c78 -00000000 -dbcd8560 -ffffffff -5fd6c490 -00000000 -cfe7f552 -ffffffff -4fb614f4 -00000000 -97d7d0de -ffffffff -6e72468e -00000000 -eea9b15f -ffffffff -4ab41c70 -00000000 -73468375 -00000000 -8eee45ec -ffffffff -e1924dc7 -ffffffff -54a214ec -00000000 -ef4cc5dd -ffffffff -13cad2a4 -00000000 -e69089c3 -ffffffff -c9c2d9c8 -ffffffff -2b49c6d7 -00000000 -5586d78d -00000000 -90692551 -ffffffff -44cbdea4 -00000000 -67578349 -00000000 -bc224eff -ffffffff -9d7e41d7 -ffffffff -58ac1c60 -00000000 -a3559c7b -ffffffff -cdfeb385 -ffffffff -8ad4fdb1 -ffffffff -20c1425c -00000000 -df3ebcbf -ffffffff -ea9a3390 -ffffffff -96e1d933 -ffffffff -b68cd538 -ffffffff -1b378fd5 -00000000 -f2163d96 -ffffffff -ac815532 -ffffffff -2cdb6ed4 -00000000 -172cdf61 -00000000 -9c5234a7 -ffffffff -f43c71a1 -ffffffff -2ccc4c10 -00000000 -73a9de6e -00000000 -04ce38f9 -00000000 -be8dcd28 -ffffffff -2aba848c -00000000 -afb6cd6c -ffffffff -c44a249f -ffffffff -8ce469a1 -ffffffff -2183fac8 -00000000 -0b2bafa5 -00000000 -8966a0b3 -ffffffff -d644e5b8 -ffffffff -b9dd5364 -ffffffff -0725abb9 -00000000 -c3a2ad90 -ffffffff -c668e1b9 -ffffffff -af91c760 -ffffffff -e3b2e022 -ffffffff -af9e9b84 -ffffffff -86acdd9a -ffffffff -0dd70efc -00000000 -3f0bcb31 -00000000 -78fa05e9 -00000000 -c32bb90e -ffffffff -8adc1518 -ffffffff -3b04e36d -00000000 -331681c6 -00000000 -b9cb351f -ffffffff -82c13b54 -ffffffff -978ae574 -ffffffff -c6321bba -ffffffff -9e88d193 -ffffffff -9588a510 -ffffffff -f301dc0b -ffffffff -414e94eb -00000000 -f67ccd92 -ffffffff -11f57a6c -00000000 -ef1adc2f -ffffffff -2aaa1dd8 -00000000 -819f290a -ffffffff -07bae8a8 -00000000 -ab15f571 -ffffffff -55c69fed -00000000 -c20cc595 -ffffffff -10d93a64 -00000000 -c79bf06e -ffffffff -362217da -00000000 -9fba8187 -ffffffff -1be14660 -00000000 -838aa1c0 -ffffffff -989ee10f -ffffffff -7e7c3d7c -00000000 -79654bbc -00000000 -ff775b30 -ffffffff -e5ba6a37 -ffffffff -1fb27961 -00000000 -6318bdf8 -00000000 -7b6e29d8 -00000000 -2096ff5f -00000000 -5430b57c -00000000 -77767574 -00000000 -f76c1fa0 -ffffffff -21327b59 -00000000 -605591f4 -00000000 -e91ba210 -ffffffff -93e13eef -ffffffff -540eea71 -00000000 -5112ed63 -00000000 -6b7f4f2c -00000000 -cfea7f49 -ffffffff -ec8af92b -ffffffff -57126967 -00000000 -ef7a4848 -ffffffff -4bea6969 -00000000 -39a66e4b -00000000 -6068a565 -00000000 -637441e4 -00000000 -a7656a7a -ffffffff -c1227531 -ffffffff -0bb341fa -00000000 -684e3960 -00000000 -03ee5003 -00000000 -143ed479 -00000000 -5851bddd -00000000 -c22bb63c -ffffffff -5f4121a4 -00000000 -6efadf42 -00000000 -15c7f9cb -00000000 -51710f98 -00000000 -5b546128 -00000000 -e516430d -ffffffff -17d775c4 -00000000 -da633e34 -ffffffff -575b613c -00000000 -d832c015 -ffffffff -3e88114b -00000000 -5f6035d0 -00000000 -73dc6921 -00000000 -566ece40 -00000000 -5172cd4b -00000000 -c462146c -ffffffff -2fde0ccf -00000000 -e34a5c1c -ffffffff -6c05a9c1 -00000000 -db08cce8 -ffffffff -8b563aae -ffffffff -bbc65a3a -ffffffff -14e1a5d0 -00000000 -c74542a4 -ffffffff -a7ce6603 -ffffffff -f902561d -ffffffff -4855a1c2 -00000000 -cd7b3220 -ffffffff -23dc38b3 -00000000 -c57e2241 -ffffffff -75aabd2e -00000000 -a451e2dc -ffffffff -3f2e7dbc -00000000 -9dfa2d6b -ffffffff -2405d9bf -00000000 -aa7db6d8 -ffffffff -9bb4034d -ffffffff -9e16a060 -ffffffff -392ab537 -00000000 -a16f8654 -ffffffff -b72a47d0 -ffffffff -a692a37a -ffffffff -47da312d -00000000 -32363930 -00000000 -13ab55f9 -00000000 -6c4ea50d -00000000 -2a254dad -00000000 -250479ac -00000000 -4fa8341f -00000000 -496a2519 -00000000 -7d86a93d -00000000 -b24de6c8 -ffffffff -8bb75fc5 -ffffffff -d3a63b5e -ffffffff -0f76252e -00000000 -2f076764 -00000000 -a7356bb8 -ffffffff -59622e1d -00000000 -6fba2133 -00000000 -be55cec0 -ffffffff -03b069b1 -00000000 -39de190f -00000000 -160d7d9e -00000000 -173c599c -00000000 -5f0b48b6 -00000000 -823a8a54 -ffffffff -181cd918 -00000000 -0b599fd8 -00000000 -3b933d51 -00000000 -61d61b2f -00000000 -5a8c5507 -00000000 -9b2572f4 -ffffffff -7793003f -00000000 -d0728971 -ffffffff -11169110 -00000000 -044dafd0 -00000000 -5317043a -00000000 -cd4e156d -ffffffff -051a8d0e -00000000 -1955bbcc -00000000 -cf0c061a -ffffffff -0eaa890a -00000000 -1d26890d -00000000 -9477f268 -ffffffff -0b0f1d20 -00000000 -f9c61a7b -ffffffff -73ef2599 -00000000 -154e9504 -00000000 -c71c6ed2 -ffffffff -b4e2975b -ffffffff -1d3fa187 -00000000 -9f72e020 -ffffffff -b9f4f3d0 -ffffffff -3909b9cd -00000000 -77b0f820 -00000000 -bb688d85 -ffffffff -e289133c -ffffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-rwp1.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-rwp1.reference_output deleted file mode 100644 index 3dc69aa11..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-rwp1.reference_output +++ /dev/null @@ -1,52 +0,0 @@ -0c28109a -00000000 -e6532c14 -ffffffff -b7203110 -ffffffff -3abb6c4c -00000000 -2fd24baf -00000000 -2cb5bc59 -00000000 -1492e616 -00000000 -249767d0 -00000000 -21fb1859 -00000000 -e3c2b8b5 -ffffffff -e17be5cf -ffffffff -cb1a9046 -ffffffff -281dabba -00000000 -be8723c8 -ffffffff -55727f88 -00000000 -331fa0cc -00000000 -dc87fc62 -ffffffff -c94a02d5 -ffffffff -51210727 -00000000 -3eee27ce -00000000 -d9983185 -ffffffff -31362cdf -00000000 -488943a2 -00000000 -f29331f2 -ffffffff -8603e2ee -ffffffff -62004df6 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xnor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xnor-01.reference_output deleted file mode 100644 index ddbb61224..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xnor-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -ffffffff -ffffffff -3c798e49 -10f9e292 -d50bd2c6 -6d1114e3 -dafdcf80 -17adb940 -c6d1905c -1af426b5 -7f1b2dc4 -4f9d8b6b -ffffffff -b3ffffff -a2ffc1c7 -ccbef345 -f47ea10f -487e4352 -7c1d45c5 -40d77fb5 -b91b5427 -1b340bed -dbd27310 -d9640d25 -00000000 -00000000 -3e0c9051 -be6012b0 -288fbd9f -72b45018 -fa7e9f19 -cdfefc74 -27435b20 -4a92c7ad -17ed22b6 -b57a6882 -c2cd2fe1 -80e9188a -dfc81cb1 -b1a381bc -78bbcb2c -8e229c8d -78a1640a -2dd24348 -e8275de8 -5c4cf5e3 -78066929 -422fc5f4 -f2bd15fe -347e029f -d21b14df -5dde69f0 -29cf7c7a -809a89ca -548d0660 -550357d6 -b1848ca6 -7237d934 -269bd636 -4a12ed38 -373209c1 -1a63e46a -3f9df604 -0ab55976 -9bb6e758 -60e2623c -309c7860 -41dff2e3 -20c3e528 -0d69d5a9 -e44c1cb8 -48a25913 -a412303a -2e32ac9b -6bb69f99 -1afb6751 -73aad0b4 -e2c5459f -e1af8e67 -4be119a2 -64a94f1d -cd7fb6d1 -64562014 -c33a0c2f -4ddfc912 -f923cfea -7c9ddecf -c6e3306a -c6ae3ad7 -2e605d8a -178c6832 -d86bfc99 -8efe3c6d -9e7b599e -2f0bddaf -cf8adda4 -d1bc6f4e -e912e8d9 -81213917 -23633e24 -92400851 -81103de8 -7f3bd426 -3352bd5b -89c238aa -c1ecd666 -9e6cb728 -a823affd -5db293cc -4a66d8fb -4e109336 -a9bd8e23 -609dc5a9 -65804f34 -5c708be2 -1814ac1c -165e4111 -8d10e8e6 -86123e02 -98b77e64 -19fe6373 -7017e8b7 -8e1df280 -9cb82c85 -870e1cea -1a715cfa -cc577061 -572a3858 -d9e350fc -b330148a -16d59ecf -e5cdc9f6 -b412d68a -92b13128 -cdf94b8e -9634ad50 -b10bc490 -d51a21e8 -2dc93212 -98da0a6e -cb7f3f52 -720c7e29 -bc9f0136 -55001a72 -9f1fee14 -d46b6d36 -add517dd -ad0fec45 -66b5aaa0 -d21a6dba -a29d6ac0 -f020e4a5 -32f87774 -2248bab5 -b15b8772 -82d38a49 -448aea95 -bc9d4188 -8f619f0e -6377b648 -c0081b72 -8300327f -18e98ec0 -801cb3b4 -4fc00b76 -6c082974 -a3c2a918 -2ca451d6 -e7332808 -1d13c025 -a8a2da71 -9f0c4a23 -831e0b4c -88f96544 -9060cb4d -79ceba72 -b07cd137 -4c37f283 -dbb26df9 -3eaf2231 -a4ecfb8b -83ac2dd3 -9b18869e -ac5272d5 -cfa1c07f -0abdaa29 -9edaf777 -6934ce23 -f817409a -10cc7e67 -9d6e0f1d -e2a9494c -a70bacd7 -285a8039 -f5f6afb6 -6fab8839 -4cbb344f -8127ec57 -dd12768e -f39c373a -9f0e0971 -2ea6ba0f -4708e34f -8fe6aac3 -b877f4ee -666d3538 -aedcffa7 -7b88fd9c -0f06fdaa -7eb55686 -f4141aa8 -69a10a0b -19c9fd83 -13bb1df0 -d18a6f08 -846ca6b4 -f0f931e3 -417d3cdb -853a98b7 -4fbfe49a -ae0c431f -3c298e94 -9413cd17 -84aa62ee -d7697c10 -81bffa20 -593acd9f -33eafcd9 -fe1098c2 -ec74c8c0 -8af2538e -daaeda53 -ebf292e7 -68431e17 -cefb7958 -d3eb9f1a -01da0929 -115dc199 -8ec3834e -98ac3b46 -8808ebd8 -a4b99752 -ec6987a2 -f8ccb8f3 -938b8379 -742265dd -413def03 -54795622 -384500fa -86c2244c -de900b6b -f4c8175c -59fff39c -5440e85a -4814d18a -3854c54b -a59f6908 -7a3d12eb -df0901fb -9fa25170 -82730caa -b6b03f3c -c3311ea6 -ce4c5818 -dfb08cf5 -41c73a55 -373a1b60 -906d2aa6 -6902f19e -130755c4 -91df54b7 -2252b6a2 -5662d47d -1c7c8cff -46f5c125 -94ad618c -d6c4e752 -da0d97d9 -44fd8dc2 -f2c3ff40 -7af897d4 -f49bbf9e -b79ac580 -06fb7576 -27ae80ba -37fbfd83 -1321b557 -55176b7b -0c507b91 -ef753c31 -719c21d0 -dd862a48 -0c11baff -5d52097d -26e7c902 -c36252b8 -d566d875 -17704279 -1f1d4cb4 -acda0c25 -2c193d02 -76eb11f2 -2f44e7ad -267032a0 -9221b010 -b08a2531 -ae30f1c0 -a21cf818 -ead02d4d -7a8e9d32 -e5e07552 -178e930b -970bba39 -cd76db50 -f51139a4 -37500bc4 -aa44c8ad -71f84515 -f3547e48 -9159cf7e -594902ca -944d33e5 -ce2e7447 -28bc691e -f7192179 -21c7f8ac -15a03321 -70348284 -b733640c -778bb9d0 -6aede476 -297bc007 -6d2db9e3 -777568b1 -bffb6c5c -4d919b2c -27b012a3 -601ab28f -14a7dd83 -5c13758e -2f6aa770 -c53cdcec -112154cc -6ee2a48d -f96eaffa -bea9e8e1 -8fbff9ba -f85318ab -2552fbf9 -7766baf8 -0f99d8bc -90caf76c -b68c8fce -e76d4fd2 -13e5cf6b -241c62a9 -47cd73ed -7286ebd5 -8364a709 -04655da3 -d0917142 -fe34a15a -76427709 -051f776c -20176dbe -643861c8 -9738bfb9 -a0a5da8e -5843990b -1d48d020 -25fb16b4 -fb0eb1b1 -bd94509c -7e41ea23 -fe183d04 -235c2871 -386ef954 -a7ae401e -0274846b -ea41b7ed -180c5db5 -4e610134 -41e5a5de -59c88ec0 -275bbf80 -e67ce511 -ae5fdcbb -a4b6b671 -b0f94bb3 -6dae4547 -7369fd5d -dc905617 -ab1ad495 -e2ed5e55 -ae7956e9 -8d1ad6ad -4850ce39 -51292419 -587e6045 -ac00dc6f -e3c10402 -db7f00d2 -3179de9e -e94a4aae -8c3003f2 -344b7378 -babcca25 -739e6846 -4e0bd50e -fb1fabbe -1e968c87 -20ef8fb9 -61abfe14 -d3d2949f -cf06b7ba -0a0a51ab -009528a2 -21ca5d6a -4e1ce991 -f56226f0 -458386c3 -369a77e4 -07794c17 -904e1be2 -ccc9946a -7ebcfbeb -975d4081 -d6c7557a -6ae9cad2 -6e2914f1 -079f948a -da41a115 -c718d7e2 -50c0297e -90df8591 -a1ba54e4 -0416a183 -60dfcf34 -7df931f4 -ed8685a8 -ff5235a4 -e2c67631 -806c32a5 -9bd712f2 -227a5c05 -941eb482 -07e0de00 -9929530c -ed770f3c -a940316a -4dfc2307 -61ef64f3 -ce6ee408 -095b11d2 -998d1525 -1f8959c6 -75ee935f -8c012a58 -59cf4bb2 -37129737 -97933e6c -88e84d10 -9687f28f -af67ce7e -d2fe2e7e -03d868ca -b6d75e84 -315dd6e0 -092936e8 -2b2b66bc -784abebb -924323e0 -4f829b65 -1f93156a -17b8b123 -09b8adbd -8b16bb0d -eab40053 -39be2172 -e449d725 -91e42acb -12d44866 -975a8550 -890b11f4 -b432d4f7 -9d2679e4 -b76d454d -8d50f5a3 -cd9cb03a -82765bb9 -eed7a24a -4d70bff2 -76b1fd3d -f21aa48f -b3988b52 -93ff019d -3c464110 -4e1b3480 -9b01f7cc -fb77097b -5b331999 -3a590a1e -2d37de81 -181634e1 -bf97e520 -6eb627af -6df8ccf6 -6fb4da1c -e08409f0 -d9b79d35 -432ff218 -038cadcd -03b1d74b -de339eca -4b6ea010 -47ac5fed -bbe8f88d -aff7c0fc -2f8b9e9d -a6ea1c0d -d8811d8b -8f552614 -f9372307 -1f54c800 -c6185622 -49e53ebf -618c63b6 -5b82ed10 -88161ece -a4655337 -1a5e0a7c -67172b13 -7eda7fa5 -8480ccb8 -20df9f5f -d15d74e2 -78352f25 -3cddd319 -ad057c8a -bd9ddde3 -ba474c12 -0f405811 -2d6c70e9 -fc1ab9b1 -e06b92d7 -6528dc26 -6c5f83e7 -27d96724 -c8118677 -91e5ea9b -6f91b4e9 -9bee3e88 -73c76989 -89b51740 -0ad53eaa -6b93f9e6 -fba3efd2 -027bb37e -f546a748 -e292ce95 -11de2f22 -6e66e63a -4911f990 -e4d1d766 -d0c19189 -2ac3db77 -e9e12a01 -3de99647 -e157e19c -a3804f76 -768c298e -514f56c6 -3789436b -337e0da0 -c09b83b6 -dfbe3fdd -32210885 -0f0e272c -b9b244f9 -bd919226 -9c8c75fa -18ea2018 -ee44bb7c -24ab19a7 -977b499b -5c8d6a66 -6410a88e -902f726e -b1fddfae -e5263927 -190e7f0a -fa2ab34d -9f5709f6 -61d1a41a -80a0facc -799acb32 -dc5e11e0 -a90aadba -996d80fc -bb14ce1b -e079d9c9 -824b2c4a -80cf3c1b -cc8d6960 -701ec1f8 -5c72f0c6 -0d1ba1d8 -4f998f55 -158b623f -dc082dd8 -d3ba8c80 -77855195 -21eb1435 -ef99f823 -941fd871 -3b550ca5 -eacfab95 -f67e5449 -481fd4ce -24eb5ff6 -358ec558 -1156c490 -e9833309 -05cbd4ea -1365ac69 -48474e5f -0485c092 -cb8c0ae0 -0e451971 -a82ac1bc -9caddbe4 -debe392e -4cda8288 -1800da46 -3e693a7f -d73f2b51 -492c17b4 -f6bd3c04 -865d12f6 -be74044f -ec0a4362 -50c5155c -5eee0a00 -e27b61d4 -2b9ad975 -bbcc63ef -984587b6 -8b901a46 -355f5ebe -6f9b2426 -6c2cbffa -e5e1249c -edc4dddb -cdcdd94a -8f431550 -07b6a06c -3178dfc6 -97ee3481 -bade9444 -0d6c4a36 -386a0e05 -20c84858 -f51f77ac -ac2fac39 -f310b87c -14a0d801 -6756e5d3 -5d0192c6 -e684088e -ac162d96 -080d1b0b -a1ebff68 -1d0dd747 -fa5eb662 -f88ef8da -f85277a6 -59a681e0 -7576ec10 -f4200585 -6b441fc9 -aaaff3ef -1ec44a2d -9dc1f7aa -6421bdd5 -f2a116ce -5675afb7 -a251f3cf -22f357f6 -82931f69 -15c27bb8 -5674fdc3 -ecbb9e18 -1aaf9ac4 -848c35e4 -ddf0b0ce -4c8d386e -5f284491 -138fc61d -afd89e1b -04fe62a1 -967e79d4 -e866189d -829c3a67 -f41224d0 -51f69bea -bb161b4f -529b977b -15c5f972 -582e600e -62bd0989 -4ec931cd -ee64b018 -73e7fe60 -674dfbef -f6a8eec0 -9bbf6224 -273cba30 -098db45f -ae9a56f2 -f3dd7d99 -840f9b3a -1e28cff0 -cbd8bbb9 -464cc714 -6dc00f2c -5d089b85 -9fae2edd -ed35c08f -3b2f7846 -63c134ab -da4fade5 -c968e1e4 -5bc3c89d -6c4c5c26 -1d4d6ab9 -faf97b40 -e2360bf3 -9aeae3bf -3b09013e -de78f0f4 -fd350dff -f0aad36b -e1c9bd6b -dd8b15e7 -fc1e4641 -09324d07 -baef44a8 -a9c16412 -9a42289e -94888cf0 -f29adecf -87aee9f7 -ffdc53d6 -c957f420 -274641b1 -b587634c -6fd5eeeb -3bd48e8f -c5349e64 -7cb39785 -eab32cc4 -4accfd03 -5669b5ba -ea3e2d20 -c42fccc0 -5c15d7b2 -38cde319 -893b9751 -cf4e82e0 -1ed4d470 -2b159a20 -78bc0149 -626a7b86 -5994f2c7 -34ff77ae -d056ebda -69a125cc -cf629486 -380217fa -88cb283e -21bdecd9 -f98e4a34 -10de24f1 -bd34a761 -139cd27b -51e8d3c4 -13dd5114 -e0c1c434 -9148302d -69d55af3 -21086fb1 -bac37e1f -911ac278 -6f22348e -298ae053 -eefb3b8f -f5fdbbda -eef19f48 -aea98bf2 -0965d15b -88a8bafc -c952d5e7 -8255b509 -0c797cfd -fc78cb40 -ac76fc1c -eba04f8b -5139fd32 -f4987eec -612c89ec -b71b6b94 -36325874 -e133fc32 -2a9c0a13 -066efdd3 -279ea165 -a1f56a7c -2dd63c28 -3c8048cf -071c9458 -6ab1936d -76b4a96f -91fe61d3 -1d95cb4a -1eb47462 -6b3d9f66 -6bb8ff4e -891b83d7 -15ef8b16 -b68a27da -281cd82e -ce80f7c3 -c26f1db2 -897d9fe5 -a87a170b -75f32b41 -4d51c058 -239853f5 -a4fe495b -08d6920e -006e4fc6 -94038f30 -eb8330d4 -a9632e3c -82b69205 -1141b67f -940e472b -faa97965 -398f5587 -d6ac851f -d29e0845 -07f5e51d -9da580da -9875bad1 -d53af4a2 -339863c4 -ff704db9 -c988a7cc -0d737406 -5bc1c366 -060a96a3 -132a7176 -37bca109 -498db88f -a3203c5d -c313bc1f -62fb1bdd -b1834066 -032c8eef -aa467bbe -79a1be95 -9b9aaad1 -d9727976 -e4367cca -1e4fa722 -3c2c0e92 -e3d46aaf -b264d045 -b4c8ccd7 -6baeea37 -54086782 -e0f003e9 -c099a251 -f69b0ae6 -7dddc76e -29ade05f -6dc3c897 -13e6d903 -d9adf7ed -0af2ee97 -0d453de3 -2a5dc0a0 -cb293e7d -e6a4d5ca -6ed26048 -a422af66 -8347dddc -755961a9 -fc6c3182 -9aaacd63 -7886e3e0 -9c1f741f -e1832ab2 -5902a9db -596f5f8a -1694bd33 -ca11073c -dd4ec4d8 -dc17ae6e -ef677106 -c3ade7e5 -c21356dd -298afd85 -8ff6f686 -4a76e8bd -b5ebf281 -11d39975 -72160203 -5c8d5a53 -f5ecdea7 -7cf8f48f -f6334166 -5eb56eb3 -0e362f5b -980c1951 -3c4600e4 -8895b556 -9ccf6ae3 -a8337ec9 -a6847b5a -fc4ac26e -d60c071a -f3f739b0 -fe1e1b5f -f62bb743 -da795f59 -051f7fce -b9fb3366 -3d6e3656 -6793dc88 -14479032 -81ab3ced -cf5e006a -c268d3b8 -3468c62f -5916831c -6ca2aa45 -33220d21 -738de638 -a08b9f10 -333afa1e -8e36868a -997506fb -b8f41082 -acb0dce1 -ffec3646 -324a1353 -6d3f41e2 -8cccf3db -c96efd04 -7efa48c8 -242a80c0 -0ffbb59f -f65e770d -da9c389c -e380a160 -4dd5ba9f -f65cf3fd -1012f03f -9e7e1fc7 -b16fd2bd -85fcd603 -3359593a -6c5e1579 -66a4d211 -72745307 -a60f5508 -7c2c966d -3396820a -9bb4752d -0f26a0eb -0cf25923 -07b45c93 -df3c45b4 -2e2ffb99 -8f0846a2 -e683ff72 -66b072b9 -11cd9a20 -2a933ad3 -450fdb90 -8525e8a8 -67dcaa94 -9535971c -51fd3b91 -3e1c8521 -8b668bc1 -b66b3284 -58f7947a -53fbff6c -2c9b6faf -c70afc92 -2dac529c -dd68f201 -6a001c14 -7213516d -c5ecc015 -18bb28e9 -99ef61ef -265039f6 -dae9b288 -14b91c79 -3d060671 -0973e89c -280b1b74 -d798c9cf -a7298946 -95a4d257 -8c8a1a32 -735c076b -4d884414 -894deab4 -0b54aacc -953b00b0 -3d4806b6 -f829d29f -4d984bcd -a96ec2b3 -acca7f12 -432779ee -5ae6a222 -61b0ee09 -bedc25e2 -ff1e5bef -53e8eb40 -137a9777 -cf84b682 -2904cdef -03cc0f27 -34c2da80 -a51ef9fb -a45d3822 -3138cec5 -97fa4cf8 -7c1d45c5 -8c577fb5 -272722b8 -22b26b7b -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.b-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.b-01.reference_output deleted file mode 100644 index 46ae2a6f8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.b-01.reference_output +++ /dev/null @@ -1,1092 +0,0 @@ -ff000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -000000db -00000000 -00000000 -00005800 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fefefefe -fefefefe -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000f6d5 -00000000 -00000000 -000000fc -00000000 -00000000 -00000000 -00000000 -00000000 -04040404 -04040404 -b6b6b6b6 -00b6b6b6 -39393939 -00393939 -7f7f7f7f -007f7f7f -a3a3a3a3 -00a3a3a3 -3b3b3b3b -003b3b3b -3a3a3a3a -003a3a3a -38383838 -00383838 -00000000 -00000000 -3a3a3a3a -00003a3a -d8d8d8d8 -0000d8d8 -efefefef -0000efef -47474747 -00004747 -aeaeaeae -0000aeae -60606060 -00006060 -e6e6e6e6 -0000e6e6 -dfdfdfdf -0000dfdf -49494949 -00000049 -1e1e1e1e -0000001e -4e4e4e4e -0000004e -d3d3d3d3 -000000d3 -f5f5f5f5 -000000f5 -17171717 -00000017 -d6d6d6d6 -000000d6 -01010101 -00000001 -20202020 -00000000 -85858585 -00000000 -9f9f9f9f -00000000 -59595959 -00000000 -c9c9c9c9 -d9000000 -3e3e3e3e -00000000 -fbfbfbfb -004c0000 -a7a7a7a7 -00000000 -009f9f9f -00000000 -00d7d7d7 -00000000 -00474747 -00000000 -00c5c5c5 -00000000 -00666666 -00000000 -004b4b4b -00000000 -00989898 -00000000 -00e2e2e2 -00000000 -2900ebeb -00000000 -0000eded -00000000 -00003030 -00000000 -00002828 -00000000 -0000cdcd -00000000 -00009292 -00000000 -00005050 -00000000 -0000b1b1 -00000000 -000000e8 -00000000 -000000ae -00000000 -000000d9 -00000000 -00000055 -00000000 -000000d7 -00000000 -00000033 -00000000 -0000dbc9 -00000000 -00000056 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00560000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000b000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000090 -00000000 -00008000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -23000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -000000ad -00000000 -00000000 -00000000 -00000000 -00008000 -00000000 -40000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00e70000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -2b000000 -00000000 -000000ed -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000055 -00000000 -00000000 -00000000 -00000000 -0000006e -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -000000e4 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000ad00 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000f100 -00000000 -4d000000 -00000000 -6b000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -001a0000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -006e0000 -00000000 -00004b00 -00000000 -f9000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00010000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000fe00 -00000000 -00000000 -00000000 -7f000000 -00000000 -00000000 -00000000 -004e0000 -00000000 -0000ff00 -00180012 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0d000000 -0000a400 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00004300 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00008c00 -00000000 -000000ff -00000075 -00000000 -000000ff -00000000 -00000000 -00000000 -000000fd -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00008700 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ff000000 -00000000 -0000ff00 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00003100 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000ff00 -00000000 -00000000 -00ff0000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ff000000 -00000000 -00000000 -bf000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00f60000 -00000000 -00000000 -00000000 -00000000 -00000000 -17000000 -9f000000 -00009400 -4d000000 -00000050 -90000000 -00000000 -72000000 -00000000 -a8000000 -00000000 -57000000 -00000000 -c4000000 -00000000 -7c000000 -00000000 -0ad89d00 -00000000 -c85a0000 -00000000 -b7f30000 -00000053 -74740000 -00000000 -cbcb0000 -00000000 -9a9a0000 -00000000 -54540000 -00000000 -7e7e0000 -00000000 -dadad700 -00000000 -90908800 -00000000 -75752e00 -00000000 -42424200 -00000000 -34343400 -00000000 -68686800 -00000000 -dedede00 -00000000 -34343400 -00000000 -f7f7f76e -00000000 -181818fc -00000000 -35353558 -00000000 -22222222 -00000000 -2f2f2f2f -00000000 -3b3b3b3b -00000000 -fdfdfdfd -00000000 -95959595 -65000000 -5f5f5f5f -20000000 -acacacac -fd000000 -34343434 -f8000000 -f8f8f8f8 -4d000000 -4d4d4d4d -9e000000 -9e9e9e9e -f9000000 -f9f9f9f9 -01000000 -01010101 -ac880000 -acacacac -09de0000 -09090909 -defc0000 -dededede -9b9b0000 -9b9b9b9b -03030000 -03030303 -7b7b0000 -7b7b7b7b -2e2e0000 -2e2e2e2e -c8c80000 -c8c8c8c8 -3b3b1f00 -3b3b3b3b -9898ba00 -98989898 -56562500 -56565656 -dadada00 -dadadada -c2c2c200 -c2c2c2c2 -79797900 -79797979 -17171700 -17171717 -90909000 -90909090 -fbfbfb2b -fbfbfbfb -898989d4 -89898989 -48484878 -48484848 -41414141 -41414141 -00000000 -00000000 -00000000 -00ee0000 -00000000 -000000c3 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -001b0000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -17000000 -00000000 -00000000 -9e000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -61000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00ba0000 -00000000 -00000000 -00002800 -00000000 -00000015 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000100 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -07000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ab000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00020000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000026 -00000000 -00001000 -00000000 -00240000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00006400 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000007 -00000000 -00000000 -00000000 -000000cd -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00450000 -4b000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00008400 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000008a -00000000 -00000000 -00000000 -000000ff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000039 -00d00000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00ff0000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000ff00 -00000000 -00000000 -00000000 -00001100 -0000ff00 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00ff0000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -f0f0f0f0 -00f0f0f0 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.n-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.n-01.reference_output deleted file mode 100644 index f29baecfd..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/references/xperm.n-01.reference_output +++ /dev/null @@ -1,1092 +0,0 @@ -ffffffff -ffffffff -fdf6f5af -01684ef4 -80080188 -8a1c2002 -b1b7f498 -b1176671 -fb8ef22d -2bf24b78 -00000000 -00000000 -00000000 -00000000 -b0109003 -4d147391 -a8988e8e -6f9bca4e -19edfdc1 -16611c00 -130e16f5 -2ce5511f -f8468cff -ff4567f4 -d9ef9dfd -ed6dd9eb -66666666 -66666666 -ffa99147 -4ffef9e9 -3ec96a52 -9d95fe93 -6fcd666b -db9d3d3f -44120721 -b840f930 -f9f5f6bc -6c5f451c -4e7d488f -d8bfef83 -79d93429 -34449553 -44444444 -44444444 -66666666 -d6666666 -99999999 -49999999 -ffffffff -6fffffff -33333333 -63333333 -bbbbbbbb -d4bbbbbb -aaaaaaaa -75aaaaaa -88888888 -ae888888 -00000000 -ba000000 -aaaaaaaa -88aaaaaa -88888888 -d1488888 -ffffffff -cf2fffff -77777777 -ddd77777 -eeeeeeee -7bffeeee -00000000 -6a200000 -66666666 -7f056666 -ffffffff -dd2affff -99999999 -d191d999 -eeeeeeee -db752eee -eeeeeeee -e273eeee -33333333 -3302d333 -55555555 -94e98755 -77777777 -8d787777 -66666666 -49879e66 -11111111 -42402611 -00000000 -2022d2f0 -55555555 -8c36cc05 -ffffffff -bf50c99f -99999999 -727e2559 -99999999 -9222cc9f -eeeeeeee -cb8cb9bb -bbbbbbbb -ccb02bc9 -77777777 -07072a01 -ffffffff -cd4c5508 -e7777777 -3dff33cc -37777777 -13b7e070 -25555555 -cba258ca -d4666666 -64472364 -f5bbbbbb -ef555ef4 -73888888 -44b925cf -ea222222 -ae0c636a -bf7bbbbb -9c97d2e9 -080ddddd -a966f939 -30400000 -9445950d -14188888 -87471812 -6252dddd -4d4e586b -cfd92222 -e3dc8cd9 -98c20000 -200785c2 -20cd1111 -8b2b0977 -64644888 -66486889 -b99d0eee -9611ad37 -6218b999 -80bab9aa -feab2555 -5ff52eaf -6b2dc477 -7fcc6ccc -85808833 -b3345805 -17b99799 -bc007cdd -d5560d66 -2e252257 -cfa14afd -1f00939f -fe62bbbe -a9c9dc6e -a9a56fbd -909af661 -56959bac -55276cdb -0e607567 -e6035705 -3370ad70 -b7770767 -f0f60a96 -06cc7606 -f3493694 -83438796 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00200002 -00000000 -00000000 -0000d000 -00000000 -00500000 -0000fc00 -00ffc000 -00200020 -00000000 -00007000 -00700000 -00000006 -00000000 -0c000004 -00040000 -0e000000 -00000000 -0600000b -00000000 -00000000 -00000700 -00050030 -00440000 -00009003 -00232300 -d000000c -00000500 -800ff000 -0d800008 -0a0800e0 -0880ee00 -00007010 -10000170 -00000004 -001b0008 -0a80e0f0 -0e0a00ff -0d0d0000 -0a00000a -00000160 -10010006 -00090000 -7600070e -0d000000 -00800024 -00700c00 -c0808078 -000a0b00 -0ba030b0 -00000000 -0a1606c0 -00389200 -bb080300 -007080d0 -70000008 -0a20000a -29d00047 -0a070007 -0aa00b0b -00006660 -01018068 -808674c0 -00067070 -006060e0 -9090ee60 -08ff07a0 -8005fff0 -59dd0a00 -0a4880d0 -8f000004 -0640400e -56030000 -50300e0a -00191008 -08d0b060 -09ab00ff -1a9a0977 -000420f2 -804f0fc0 -df02d90d -2cce330c -0047b704 -405c7400 -c40667d0 -0dd00c6c -5b00001b -051f6bf5 -aed0705a -4a06d707 -d5c00030 -527c7525 -9707a48e -0f7a8a59 -4aaddaf0 -44fcd4c4 -9959d902 -0500555a -02b20c51 -0139ce0d -03810e33 -106e3e00 -ff93f090 -90398aa3 -ff90e9ad -6a11bd19 -0bda0bb9 -f0526d54 -e109150e -5a5550e0 -2e9d552e -55c55945 -3b62553c -0310f003 -2b18c661 -ccbc63cc -f04f5ffc -cfc5520f -edbe6493 -3124cec2 -8fefefee -04604fef -76adaadd -6dad7d47 -00e0bbae -003707eb -fb226ae6 -edfa66ed -d01d2240 -04d22177 -03aa8847 -33e3a3a3 -e8623830 -308ee0a3 -a4dad02a -9f395b92 -00c02cf1 -10c0cf66 -ff1187d8 -1ad42457 -ff6aca99 -9c699acc -25004400 -25b04a47 -1e4f3666 -1e3d36f4 -add6d966 -dacd014a -3a7a1777 -57e28a81 -6a505ccc -a925265a -78bbb777 -b7bab7cb -d6303000 -1c6707e0 -8f6abbbb -fa26466f -7970cccc -c9889902 -7afd6666 -868e0436 -c7466666 -4ddd3d6d -77f77777 -797304df -c77fffff -7df7d147 -60711111 -6116a01b -f4600000 -b20f0cf0 -d0888888 -dd08dddd -65eeeeee -27b57eba -8bdddddd -1b900ba0 -15111111 -f51d9414 -83333333 -8488c884 -08888888 -b5e88804 -01111111 -9ca9001a -41111111 -599e5ae9 -cccccccc -70892da1 -22222222 -859c2a62 -33333333 -06363c66 -eeeeeeee -e8adfee6 -44444444 -ad13b994 -22222222 -4c2bac42 -11111111 -f17b1c71 -cccccccc -4cc1111c -00000000 -af6cc000 -88888888 -c8d22c88 -eeeeeeee -4faf9aee -44444444 -faafa844 -88888888 -994f5888 -11111111 -0d9c7111 -77777777 -6fe78777 -cccccccc -6c451ccc -55555555 -9e665555 -66666666 -a73a6666 -99999999 -579a9999 -eeeeeeee -0777eeee -bbbbbbbb -dddbbbbb -11111111 -8e811111 -bbbbbbbb -409bbbbb -eeeeeeee -e12eeeee -00000000 -30000000 -66666666 -20666666 -99999999 -ef999999 -99999999 -d0999999 -33333333 -43333333 -33333333 -c3333333 -aaaaaaaa -eaaaaaaa -22222222 -a2222222 -66666666 -66666666 -2a803062 -22016a88 -fd88cdcd -6d2d6d8d -1bfa1112 -11acc243 -2eeadb2a -ad2e2292 -51f18568 -6a895958 -ff05fe2c -022f00ef -e8611f32 -3e4348ef -ff4f7379 -b3500579 -c314f1cd -b14e31e1 -66f3f4f7 -e336773e -f0efff0e -f2f34ffb -0294f9f9 -01999998 -95f59fda -afb9f758 -81bfccbf -ffdc88f8 -dd474bbf -f5544577 -c4cff647 -cf4f3b64 -d0c22df9 -2d5d3f8f -cffdb16c -f01fffc5 -c36f3fef -97eb77f6 -997f9c9f -9f74f5f7 -aa77af70 -e7ffaaf9 -41f54e1f -c1e3ec1f -391e3b1f -91b7fff3 -fd772fdf -7f7b7f57 -1153ff5f -fe3f33aa -5fffffcf -e559ff5f -f333ffaf -28a552ff -f595faff -f337f3e3 -fc8f08ff -f80ffffb -f111f4f8 -f83171f4 -0df2fff3 -ff2ffff2 -f6f66fff -ff1ccfbf -776affff -bfacfcf0 -ff55bff6 -ffff6ff0 -f75af33f -f543f733 -2f2ffef7 -f22fff77 -6fff6ff6 -f3f0fccc -7f25f5f5 -75f27fff -3fbff7ff -7bffffdf -f7f7ff5f -ffff73f2 -fffcd8dd -ffcfff8f -fffff4ff -fff45ff5 -ff0f0fff -ff90ff08 -f2ff22fb -2fafbfff -ff21ffff -ff6fffff -ffafffa1 -ffffffff -ffffffff -ffff1f7f -7fffafff -fff8ff8f -fff8fff8 -ffffdfff -94f9ffff -ff9fffff -cc9fffff -9cfff66f -ffff62f2 -ff7ffff6 -ffffffff -fcf3fffc -ffffffff -ffffffff -ffff4fff -ffffffff -ffffffff -ff7ffff5 -fffffcff -ffffffcf -f6ffdfff -6ffffff6 -ff3fff3f -ffffffff -7fffff6f -ffffffff -fff22fff -ffffffff -ffffffff -dfffffff -ffffffff -fffffbff -ffff7fff -f77fff7f -ffffffff -ffffffff -ce090039 -8e393e36 -b2bb1992 -a2695d95 -dfd7e92d -6eff6ff9 -a436f526 -f3b48442 -81c1c298 -276a1981 -66c71af1 -6e1e69f7 -55682b84 -284c0708 -8b5f2668 -6a2031ff -390e30e0 -2260446e -0a13d211 -88c490a3 -0fea81be -777a5d70 -c47736f4 -44cc4049 -5a1381f3 -ccc98e11 -992ad905 -aaa0a66a -a1ccac58 -88811c55 -0f0af7fb -777bf467 -33e45543 -44444a44 -462c6bb4 -bbbb8116 -94908262 -aaaa9049 -533d96dc -44445219 -e55b7b6b -eeeee955 -e277cc26 -aaaaa99b -b02fb25f -0000059e -692ac6a6 -5555577e -c275b734 -22222207 -3c498e4e -4444449e -fa612ec1 -888888e8 -3cd0323b -eeeeeedd -3bf54b80 -4444444b -9766fd4f -77777776 -0d5e5811 -8888888a -995234e6 -55555553 -32828a48 -22222222 -e828ddff -ffffffff -d3cb18f6 -bbbbbbbb -f5d52cd2 -dddddddd -55ee9bee -55555555 -f945fc95 -ffffffff -cf2aa55f -cccccccc -43464df6 -44444444 -88d87d4d -88888888 -dd664f69 -dddddddd -ee190a33 -eeeeeeee -99fbaa60 -99999999 -111d3370 -11111111 -ccc2677e -cccccccc -999b106b -99999999 -eeedafee -eeeeeeee -bbbb1909 -bbbbbbbb -3333076d -33333333 -bbbb09a9 -bbbbbbbb -eeee2b35 -eeeeeeee -88888797 -88888888 -bbbbba7b -bbbbbbbb -88888491 -88888888 -66666524 -66666666 -aaaaaa5f -aaaaaaaa -22222265 -22222222 -999999e8 -99999999 -77777711 -77777777 -00000003 -00000000 -bbbbbbb1 -bbbbbbbb -99999992 -99999999 -88888884 -88888888 -11111111 -11111111 -7d877b87 -b9ff3b0b -6a3e9030 -ec8cae10 -16cf2630 -f1c79ce7 -ecb22242 -d464c42d -05555166 -0a0460b6 -6dc543d2 -75cd0007 -211f55f1 -5c559f4f -5ce0d705 -11e1dd41 -5ca68a80 -6c7ac4aa -155305aa -a1616500 -33013fff -10e3307f -99d0059e -2e9d19e9 -d5cdc508 -28629049 -00000600 -09007900 -b7bbc0db -dbbd6c00 -8d04de10 -0d1cd444 -6b40f00b -bf00fbb2 -60002726 -76678930 -6520069a -0060d291 -0bfee8c0 -0fe0a108 -7afc0f1c -7e11b00f -429380fe -f0f20003 -80a0cf00 -00760890 -eb00e21e -00aebb02 -2bea000e -0002a00e -760076e0 -6444047a -b070b99c -b00000b7 -110d1155 -dd010d0b -000e4000 -007097e7 -00000b80 -008e0a3c -004210f0 -3300e001 -060d1000 -010d2a22 -08000f04 -d88c0000 -085004e0 -0b55a004 -a0010003 -a07707aa -8d830000 -0301ddd0 -60007680 -00066000 -01b05000 -0b007100 -29004002 -f900d004 -01000000 -0070001e -3c000f00 -9000f0f0 -03307000 -06060600 -00000300 -a3a00400 -b0080000 -01b1b0a3 -09000900 -a100f0f0 -f0000000 -f0000007 -60f20f06 -11020060 -f60f0003 -00000f00 -000ffb00 -00b00000 -00000000 -000004a0 -20002202 -00044020 -bba1000a -1000b000 -00000000 -00000000 -0b605000 -0060050b -00000300 -00000000 -90000100 -00001100 -00000000 -a00a0000 -00090000 -00000000 -00002006 -00000000 -04010040 -01000000 -0000000f -00000000 -00000000 -00007000 -00220000 -00000000 -00000000 -01000000 -00000000 -00000000 -a11e2166 -1b96a2ab -18200010 -2b10303b -ce9e9e5a -aae785a6 -c1fd10d0 -c6ffdc12 -c2226d3f -6d616d61 -3ef6e755 -beee3bee -7ebe5e67 -68b81be6 -9e9b5319 -efbfbffb -d77d2227 -ee2e244d -9398b522 -0025623b -868f3739 -cc73bf8b -0043f548 -00cc930c -fc5595cf -aaafaa95 -146e6f6e -5551145e -e7836352 -666f2532 -464e3255 -33366536 -693bca63 -2222cd1c -3fe59093 -2222e777 -6022a76a -22226105 -72200001 -000078d2 -0436da94 -77777e0b -9c591cc9 -11111bdc -d7dd83d7 -66666ddb -2d1601d2 -88888908 -abca2802 -bbbbbb0b -9b77ec91 -cccccc18 -81c8ffa2 -88888878 -5cfc5550 -77777755 -37358227 -2222222f -2db62803 -00000002 -3936650e -99999993 -e3b32ad0 -aaaaaaa9 -411f11b4 -11111111 -9f567cf6 -ffffffff -c871d9d8 -dddddddd -705f75dd -00000000 -99955a15 -99999999 -349e3131 -33333333 -c8b9a1cf -cccccccc -5b31b9e0 -55555555 -11a7160a -11111111 -44f38f44 -44444444 -cc331bb3 -cccccccc -bb1b5862 -bbbbbbbb -aaadba3f -aaaaaaaa -9991167a -99999999 -eee64cc7 -eeeeeeee -333c411d -33333333 -bbbb45ee -bbbbbbbb -6666ebe8 -66666666 -eeeeff2e -eeeeeeee -ffff646c -ffffffff -22222d9c -22222222 -11111d3d -11111111 -00000f2d -00000000 -22222ad3 -22222222 -eeeeee3e -eeeeeeee -aaaaaaa0 -aaaaaaaa -77777753 -77777777 -999999a9 -99999999 -99999996 -99999999 -5555555a -55555555 -11111114 -11111111 -dddddddd -dddddddd -eeeeeeee -eeeeeeee -ca7013a3 -79353015 -d72c8ee9 -ce14d5bc -94d6d5e5 -e4ed56ee -17277cc7 -a7e4b27e -c913eca1 -facfffc3 -9ca919ad -f69ac996 -cfbfecfc -c6fe6fff -1fd43443 -41331183 -2e01e90f -6d10601d -26f8f46e -64e2f78d -ff1adfeb -d8226fcf -c85cecec -ff68cb6b -728f72cf -f2738282 -b4b3334f -34fffaf3 -d78d1dde -186e75e8 -bbbc3fef -e433a83e -cfdc52bf -f4c5b9d4 -cf03f6ff -b615f021 -75f7c73c -eeffea2f -fc451bfb -45cec1f5 -ff9029f6 -5f625ff2 -23ffe3f4 -f2f5c3ef -cf66cf07 -ffff67ff -1af1ff46 -cf1f146f -ff833ef5 -f38ffffa -ffbe9aff -e7ef49af -fffdd0df -fbdf0010 -3f053fff -f895f06f -1fffffa0 -ffeff8f8 -51f91fff -ffbf3ff2 -fdfafa57 -d4fff665 -be9ffff9 -9fef5ebe -ff736fff -73796727 -fffff5ef -9fcff55f -3ffddbfb -fffedb8f -61fff6e4 -1ffb4fba -5f5ff1ff -ff3f14f1 -6ffcf6ff -ffbf1bf6 -f9f0f3ff -ccf3f0ff -6b66fff3 -bff88ff8 -d7fff7ff -f717ffff -ffffff83 -f828ff3f -cdfcfffc -7fdffccd -ffffe2ff -ffff27f2 -ffffff9f -f0ffffff -2ffff2ff -ff6ffff2 -9ffffcfa -fffff92a -ffb6ddfb -f06df6ff -fffffaff -afffefff -ffff4fff -6ff78fff -ffffffff -2fff2fff -ef9fbfef -9ffeffff -5f6f77ff -ffffffff -ffafffff -fffffaff -ffff7ffd -ffffffff -ffffff4f -ffffffff -1fffffff -ffff11f1 -ffffbfff -ffffffff -ffffffff -ffffffff -ffffff0f -ffffffff -ffffffff -fff5ff5f -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffefffff -66640428 -44da44a1 -99961173 -77f4f876 -a3be96a6 -425b2bd1 -89a243da -0aa0a88a -7f751225 -99a33735 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/div-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/div-01.reference_output deleted file mode 100644 index 9f5ca9ebe..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/div-01.reference_output +++ /dev/null @@ -1,1356 +0,0 @@ -00000001 -00000000 -ffffffff -ffffffff -1f07c1f0 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -b504f335 -00000000 -00000000 -00000000 -fc000001 -ffffffff -00000000 -00000000 -00000000 -00020000 -00000001 -00000000 -f0000000 -ffffffff -fffc0000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -ffffc000 -00000000 -00000000 -00040000 -00000000 -40000000 -00000000 -00000000 -00000000 -00004000 -00000000 -00000000 -00000000 -00016a09 -00000000 -33333333 -00003333 -00000001 -00000000 -55555555 -00001555 -00080000 -00000000 -66666666 -00000666 -01000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffc0 -ffffffff -00000000 -00000000 -fffffff5 -ffffffff -00000000 -00000000 -20000000 -00000000 -00002000 -00000000 -f0000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -fc000000 -ffffffff -fff80000 -ffffffff -00000000 -00000000 -00000000 -00000000 -fffc0000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000800 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffff80 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000066 -00000000 -00000008 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffffe -ffffffff -ddddddde -dddddddd -00000000 -00000000 -3c3c3c3d -fffc3c3c -00000000 -00000000 -00000000 -00000000 -ffffe020 -ffffffff -ff007fc1 -fffffc01 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -fffff001 -ffffffff -00000000 -00000000 -fffff001 -ffffffff -fffff4b0 -ffffffff -000005a8 -00000000 -00000000 -00000000 -00000000 -00000000 -0000007f -00000000 -00000000 -00000000 -00000000 -00000000 -00000fff -00000000 -00000000 -00000000 -ffc00001 -ffffffff -ffffffc1 -ffffffff -00000000 -00000000 -fff00001 -ffffffff -00000000 -00000000 -0000003f -00000000 -00000000 -00000000 -00000000 -00000000 -feaaaaab -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffe1 -ffffffff -00000000 -00000000 -ffff5556 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffd56 -ffffffff -fffffff1 -ffffffff -00000000 -00000000 -ffffff9a -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000e -00000000 -00000000 -00000000 -fffffff1 -ffffffff -fffffff9 -ffffffff -00000000 -00000000 -00000008 -00000000 -00000000 -00000000 -00000040 -00000000 -01000000 -00000000 -fffe0002 -ffffffff -00000000 -00000000 -00000010 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffa57e -ffffffff -08000000 -00000000 -66666667 -ffffe666 -aaaaaaaa -0000aaaa -66666666 -00066666 -00000000 -00000000 -f001ffc1 -fffc007f -00000000 -e0000000 -00000000 -00000000 -00000000 -00000000 -ffffff9a -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffc0000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00003fe0 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffff0 -ffffffff -00000000 -00000000 -00000000 -00000000 -0f83e0f8 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -fffffff8 -ffffffff -00000000 -00000000 -00000000 -ffffffc0 -00000000 -00000000 -001fffff -00000000 -7fffc000 -00000000 -0002d413 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0fc0fc0f -000fc0fc -55555555 -01555555 -fffffff0 -ffffffff -55001550 -00155001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000001 -00000000 -00000000 -00000000 -11111111 -11111111 -00000001 -00000000 -00000000 -00000000 -87520888 -ffffffff -78adf778 -00000000 -aaaaaaaa -2aaaaaaa -00000001 -00000000 -ffffffff -ffffffff -55555555 -15555555 -00000001 -00000000 -00000000 -00000000 -78adf779 -00000000 -00000000 -00000000 -ffffffff -ffffffff -38e38e38 -0e38e38e -00000001 -00000000 -00000000 -00000000 -87520887 -ffffffff -78adf777 -00000000 -8e38e38e -e38e38e3 -ffffffff -ffffffff -00000001 -00000000 -eeeeeeef -eeeeeeee -ffffffff -ffffffff -00000000 -00000000 -78adf778 -00000000 -87520888 -ffffffff -55555555 -d5555555 -ffffffff -ffffffff -ffffffff -ffffffff -aaaaaaab -eaaaaaaa -ffffffff -ffffffff -00000000 -00000000 -87520887 -ffffffff -ffffffff -ffffffff -00000001 -00000000 -c71c71c7 -f1c71c71 -ffffffff -ffffffff -00000000 -00000000 -78adf779 -00000000 -87520889 -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -00000001 -00000000 -00000000 -00000000 -b7979eb8 -ffffffff -48686148 -00000000 -99999999 -19999999 -00000000 -00000000 -ffffffff -ffffffff -cccccccc -0ccccccc -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -00000000 -00000000 -00000000 -00000000 -88888888 -08888888 -00000000 -00000000 -00000000 -00000000 -b7979eb8 -ffffffff -48686147 -00000000 -22222222 -22222222 -00000001 -00000000 -ffffffff -ffffffff -ae147ae1 -147ae147 -00000002 -00000000 -00000001 -00000000 -6f2f3d70 -ffffffff -90d0c290 -00000000 -33333333 -33333333 -00000001 -00000000 -ffffffff -ffffffff -99999999 -19999999 -00000002 -00000000 -00000001 -00000000 -90d0c291 -00000000 -00000001 -00000000 -ffffffff -ffffffff -11111111 -11111111 -00000001 -00000000 -00000000 -00000000 -6f2f3d6f -ffffffff -90d0c28f -00000000 -c3a90445 -ffffffff -00000000 -00000000 -00000000 -00000000 -dbcbcf5d -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -a57d8667 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -d2bec334 -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -e1d48223 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -5a827999 -00000000 -00000000 -00000000 -ffffffff -ffffffff -2d413ccc -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -1e2b7ddd -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -00000001 -00000000 -00000000 -00000000 -87520888 -ffffffff -78adf778 -00000000 -aaaaaaaa -2aaaaaaa -00000001 -00000000 -ffffffff -ffffffff -55555555 -15555555 -00000001 -00000000 -00000000 -00000000 -78adf779 -00000000 -00000000 -00000000 -00000000 -00000000 -38e38e38 -0e38e38e -00000001 -00000000 -00000000 -00000000 -87520887 -ffffffff -78adf777 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -00000000 -00000000 -00000000 -00000000 -b7979eb8 -ffffffff -48686148 -00000000 -99999999 -19999999 -00000000 -00000000 -ffffffff -ffffffff -cccccccc -0ccccccc -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -00000000 -00000000 -00000000 -00000000 -88888888 -08888888 -00000000 -00000000 -00000000 -00000000 -b7979eb8 -ffffffff -48686147 -00000000 -22222221 -22222222 -00000001 -00000000 -ffffffff -ffffffff -ae147ae1 -147ae147 -00000001 -00000000 -00000000 -00000000 -6f2f3d70 -ffffffff -90d0c290 -00000000 -33333332 -33333333 -00000001 -00000000 -ffffffff -ffffffff -99999999 -19999999 -00000002 -00000000 -00000001 -00000000 -90d0c291 -00000000 -00000001 -00000000 -ffffffff -ffffffff -11111110 -11111111 -00000001 -00000000 -00000000 -00000000 -6f2f3d6f -ffffffff -90d0c28f -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -5a827999 -00000000 -00000000 -00000000 -ffffffff -ffffffff -2d413ccc -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -1e2b7ddd -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -71c71c72 -1c71c71c -00000001 -00000000 -ffffffff -ffffffff -11111111 -11111111 -00000001 -00000000 -00000000 -00000000 -87520888 -ffffffff -78adf778 -00000000 -aaaaaaab -2aaaaaaa -00000001 -00000000 -ffffffff -ffffffff -55555555 -15555555 -00000001 -00000000 -00000000 -00000000 -78adf779 -00000000 -00000001 -00000000 -ffffffff -ffffffff -38e38e39 -0e38e38e -00000001 -00000000 -00000000 -00000000 -87520887 -ffffffff -78adf777 -00000000 -8e38e38f -e38e38e3 -ffffffff -ffffffff -00000000 -00000000 -eeeeeeef -eeeeeeee -ffffffff -ffffffff -00000000 -00000000 -78adf778 -00000000 -87520888 -ffffffff -55555556 -d5555555 -ffffffff -ffffffff -ffffffff -ffffffff -aaaaaaab -eaaaaaaa -ffffffff -ffffffff -00000000 -00000000 -87520887 -ffffffff -00000000 -00000000 -00000001 -00000000 -c71c71c8 -f1c71c71 -ffffffff -ffffffff -00000000 -00000000 -78adf779 -00000000 -87520889 -ffffffff -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -00000001 -00000000 -00000000 -00000000 -b7979eb8 -ffffffff -48686148 -00000000 -9999999a -19999999 -00000000 -00000000 -ffffffff -ffffffff -cccccccd -0ccccccc -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -00000000 -00000000 -00000000 -00000000 -88888888 -08888888 -00000001 -00000000 -00000000 -00000000 -b7979eb8 -ffffffff -48686147 -00000000 -22222222 -22222222 -00000001 -00000000 -ffffffff -ffffffff -ae147ae1 -147ae147 -00000002 -00000000 -00000001 -00000000 -6f2f3d70 -ffffffff -90d0c290 -00000000 -33333333 -33333333 -00000001 -00000000 -ffffffff -ffffffff -99999999 -19999999 -00000002 -00000000 -00000001 -00000000 -90d0c291 -00000000 -00000001 -00000000 -ffffffff -ffffffff -11111111 -11111111 -00000001 -00000000 -00000001 -00000000 -6f2f3d6f -ffffffff -90d0c28f -00000000 -c3a90445 -ffffffff -00000000 -00000000 -00000000 -00000000 -dbcbcf5d -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -a57d8667 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -d2bec334 -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -e1d48223 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -3c56fbbc -00000000 -00000000 -00000000 -00000000 -00000000 -243430a4 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -5a82799a -00000000 -00000000 -00000000 -ffffffff -ffffffff -2d413ccd -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -1e2b7dde -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -38e38e39 -fffffffe -00000001 -00000000 -00000000 -00000080 -99999999 -00019999 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divu-01.reference_output deleted file mode 100644 index f9d0068d6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divu-01.reference_output +++ /dev/null @@ -1,1648 +0,0 @@ -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -0000000d -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -ffdfffff -3fffffff -ffffffff -1ffeffff -00000000 -00000001 -00000000 -00000020 -00000000 -00000000 -ffffffff -01ffbfff -00000080 -00000000 -00000000 -00000000 -00000000 -00000200 -00000000 -00000000 -000fffff -00000000 -ffffffff -0006ffff -ffffffff -ffffffff -00000004 -00000000 -ffffffff -00007fff -33333333 -00003333 -ffffbfff -00003fff -00000000 -00000000 -fffbffff -00000fff -00000100 -00000000 -00000000 -00000000 -000001ff -00000000 -00000000 -00000000 -00000000 -00000000 -fff7ffff -0000003f -ffffffff -0000001f -00000080 -00000000 -bfffffff -00000007 -ffffffff -00000003 -00000001 -00000000 -00000000 -00000000 -2aaaaaaa -00000000 -3fffffff -00000000 -00000000 -00000000 -00000000 -00000000 -02aaaaaa -00000000 -00000000 -00000000 -01ffffff -00000000 -00ffffdf -00000000 -007fefff -00000000 -003ff7ff -00000000 -00000000 -00000000 -000bffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00003fff -00000000 -00000000 -00000000 -00000fff -00000000 -000007ff -00000000 -00000002 -00000000 -000001ff -00000000 -000000ff -00000000 -00000000 -00000000 -0000003f -00000000 -0000001f -00000000 -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000b -00000000 -0000001c -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000800 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00002000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000200 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -04000000 -00000000 -00002000 -000fffff -00000000 -50d79435 -0d79435e -000001ff -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -b13b139d -13b13b13 -00000000 -00000000 -00000001 -00000000 -ffffffbf -000fffff -110fffff -11111111 -332ccccc -33333333 -1fffffff -00000000 -ffffbfff -001fffff -00000001 -00000000 -fffeffff -0003ffff -0000ffff -00000000 -1fffffff -00000000 -fdffffff -0003ffff -ffffffff -1999997f -ffffffff -3fffff7f -00000000 -00000000 -fdffffff -00001fff -ffffffff -00fffeff -f7ffffff -000003ff -3ffeffff -00000000 -ffffffff -ffefffff -ffffffff -000003fd -ffffffff -07dfffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000001 -00000000 -00000000 -00000000 -11111111 -11111111 -00000001 -00000000 -00000000 -00000000 -78adf778 -00000000 -ffffffff -ffffffff -55555555 -00000000 -aaaaaaaa -2aaaaaaa -00000001 -00000000 -00000000 -00000000 -55555555 -15555555 -00000001 -00000000 -00000000 -00000000 -78adf779 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -38e38e38 -0e38e38e -00000001 -00000000 -00000000 -00000000 -78adf777 -00000000 -55555555 -55555555 -55555555 -00000000 -e38e38e3 -38e38e38 -00000002 -00000000 -00000001 -00000000 -22222222 -22222222 -00000003 -00000000 -00000001 -00000000 -f15beef1 -00000000 -ffffffff -ffffffff -aaaaaaaa -00000000 -55555555 -55555555 -00000002 -00000000 -00000001 -00000000 -aaaaaaaa -2aaaaaaa -00000003 -00000000 -00000001 -00000000 -f15beef2 -00000000 -aaaaaaab -00000000 -00000001 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000003 -00000000 -00000001 -00000000 -f15beeef -00000000 -aaaaaaaa -aaaaaaaa -aaaaaaaa -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000005 -00000000 -00000000 -00000000 -11111111 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -ffffffff -ffffffff -33333333 -00000000 -99999999 -19999999 -00000000 -00000000 -00000000 -00000000 -cccccccc -0ccccccc -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -88888888 -08888888 -00000000 -00000000 -00000000 -00000000 -48686147 -00000000 -33333333 -33333333 -33333333 -00000000 -22222222 -22222222 -00000001 -00000000 -00000000 -00000000 -ae147ae1 -147ae147 -00000002 -00000000 -00000001 -00000000 -90d0c290 -00000000 -ffffffff -ffffffff -66666666 -00000000 -33333333 -33333333 -00000001 -00000000 -00000000 -00000000 -99999999 -19999999 -00000002 -00000000 -00000001 -00000000 -90d0c291 -00000000 -66666666 -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -11111111 -00000001 -00000000 -00000000 -00000000 -90d0c28f -00000000 -66666666 -66666666 -66666666 -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -5a827999 -00000000 -00000000 -00000000 -00000000 -00000000 -2d413ccc -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -1e2b7ddd -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -b504f333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -80000000 -00000000 -00000000 -00000000 -00000000 -00000000 -40000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -2aaaaaaa -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -00000001 -00000000 -00000000 -00000000 -78adf778 -00000000 -ffffffff -ffffffff -55555555 -00000000 -aaaaaaaa -2aaaaaaa -00000001 -00000000 -00000000 -00000000 -55555555 -15555555 -00000001 -00000000 -00000000 -00000000 -78adf779 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -38e38e38 -0e38e38e -00000001 -00000000 -00000000 -00000000 -78adf777 -00000000 -55555554 -55555555 -55555554 -00000000 -e38e38e3 -38e38e38 -00000001 -00000000 -00000000 -00000000 -22222221 -22222222 -00000003 -00000000 -00000001 -00000000 -f15beef1 -00000000 -ffffffff -ffffffff -aaaaaaaa -00000000 -55555554 -55555555 -00000002 -00000000 -00000001 -00000000 -aaaaaaaa -2aaaaaaa -00000003 -00000000 -00000001 -00000000 -f15beef2 -00000000 -aaaaaaab -00000000 -00000001 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000003 -00000000 -00000001 -00000000 -f15beeef -00000000 -aaaaaaa9 -aaaaaaaa -aaaaaaa9 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -11111110 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -00000000 -00000000 -00000000 -00000000 -48686148 -00000000 -ffffffff -ffffffff -33333333 -00000000 -99999999 -19999999 -00000000 -00000000 -00000000 -00000000 -cccccccc -0ccccccc -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -88888888 -08888888 -00000000 -00000000 -00000000 -00000000 -48686147 -00000000 -33333332 -33333333 -33333332 -00000000 -22222221 -22222222 -00000001 -00000000 -00000000 -00000000 -ae147ae1 -147ae147 -00000001 -00000000 -00000000 -00000000 -90d0c290 -00000000 -ffffffff -ffffffff -66666666 -00000000 -33333332 -33333333 -00000001 -00000000 -00000000 -00000000 -99999999 -19999999 -00000002 -00000000 -00000001 -00000000 -90d0c291 -00000000 -66666666 -00000000 -00000001 -00000000 -00000000 -00000000 -11111110 -11111111 -00000001 -00000000 -00000000 -00000000 -90d0c28f -00000000 -66666665 -66666666 -66666665 -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -5a827999 -00000000 -00000000 -00000000 -00000000 -00000000 -2d413ccc -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -1e2b7ddd -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -b504f332 -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -7fffffff -00000000 -00000000 -00000000 -00000000 -00000000 -3fffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -2aaaaaaa -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -00000000 -00000000 -00000000 -71c71c72 -1c71c71c -00000001 -00000000 -00000000 -00000000 -11111111 -11111111 -00000001 -00000000 -00000000 -00000000 -78adf778 -00000000 -ffffffff -ffffffff -55555555 -00000000 -aaaaaaab -2aaaaaaa -00000001 -00000000 -00000000 -00000000 -55555555 -15555555 -00000001 -00000000 -00000000 -00000000 -78adf779 -00000000 -55555555 -00000000 -00000001 -00000000 -00000000 -00000000 -38e38e39 -0e38e38e -00000001 -00000000 -00000000 -00000000 -78adf777 -00000000 -55555556 -55555555 -55555555 -00000000 -e38e38e3 -38e38e38 -00000002 -00000000 -00000001 -00000000 -22222222 -22222222 -00000003 -00000000 -00000001 -00000000 -f15beef1 -00000000 -ffffffff -ffffffff -aaaaaaaa -00000000 -55555555 -55555555 -00000002 -00000000 -00000001 -00000000 -aaaaaaaa -2aaaaaaa -00000003 -00000000 -00000001 -00000000 -f15beef2 -00000000 -aaaaaaab -00000000 -00000001 -00000000 -71c71c71 -1c71c71c -00000003 -00000000 -00000001 -00000000 -f15beeef -00000000 -aaaaaaab -aaaaaaaa -aaaaaaaa -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -ffffffff -ffffffff -33333333 -00000000 -9999999a -19999999 -00000000 -00000000 -00000000 -00000000 -cccccccd -0ccccccc -00000001 -00000000 -00000000 -00000000 -48686148 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -88888888 -08888888 -00000001 -00000000 -00000000 -00000000 -48686147 -00000000 -33333334 -33333333 -33333333 -00000000 -22222222 -22222222 -00000001 -00000000 -00000000 -00000000 -ae147ae1 -147ae147 -00000002 -00000000 -00000001 -00000000 -90d0c290 -00000000 -ffffffff -ffffffff -66666666 -00000000 -33333333 -33333333 -00000001 -00000000 -00000000 -00000000 -99999999 -19999999 -00000002 -00000000 -00000001 -00000000 -90d0c291 -00000000 -66666666 -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -11111111 -00000001 -00000000 -00000001 -00000000 -90d0c28f -00000000 -66666667 -66666666 -66666666 -00000000 -3c56fbbc -00000000 -00000000 -00000000 -00000000 -00000000 -243430a4 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -5a82799a -00000000 -00000000 -00000000 -00000000 -00000000 -2d413ccd -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -1e2b7dde -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -b504f334 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -80000000 -00000000 -00000000 -00000000 -00000000 -00000000 -40000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -2aaaaaaa -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000006 -00000000 -00000000 -00000000 -11111111 -11111111 -00000001 -00000000 -00200000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divuw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divuw-01.reference_output deleted file mode 100644 index b69cd713c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divuw-01.reference_output +++ /dev/null @@ -1,1644 +0,0 @@ -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00100000 -00000000 -19999999 -00000000 -00000000 -00000000 -0b504f33 -00000000 -06ffffff -00000000 -00000000 -00000000 -01ffffff -00000000 -00000000 -00000000 -00000000 -00000000 -003ffffd -00000000 -001ffeff -00000000 -000fffff -00000000 -00000000 -00000000 -00015555 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00003fef -00000000 -00001fff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -0000001f -00000000 -0000000f -00000000 -00000007 -00000000 -00000003 -00000000 -00000001 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000010 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000040 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -20000000 -00000000 -00000040 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000fff -00000000 -2aaaaaa9 -00000000 -00000001 -00000000 -000fffff -00000000 -00000000 -00000000 -001ffff7 -00000000 -00000000 -00000000 -000003ff -00000000 -ffffffff -ffffffff -7fefffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -0000000f -00000000 -00000001 -00000000 -1fffffff -00000000 -00000001 -00000000 -00000001 -00000000 -3fffffff -00000000 -33333333 -00000000 -ffffffff -ffffffff -000003ff -00000000 -7fffffff -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -1c71c71c -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -2aaaaaaa -00000000 -00000001 -00000000 -00000000 -00000000 -15555555 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0e38e38e -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -55555555 -00000000 -38e38e38 -00000000 -00000002 -00000000 -00000001 -00000000 -22222222 -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -55555555 -00000000 -00000002 -00000000 -00000001 -00000000 -2aaaaaaa -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -1c71c71c -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -aaaaaaaa -ffffffff -aaaaaaaa -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000005 -00000000 -00000005 -00000000 -11111111 -00000000 -00000000 -00000000 -00000000 -00000000 -0a3d70a3 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -19999999 -00000000 -00000000 -00000000 -00000000 -00000000 -0ccccccc -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08888888 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -33333333 -00000000 -33333333 -00000000 -22222222 -00000000 -00000001 -00000000 -00000000 -00000000 -147ae147 -00000000 -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -33333333 -00000000 -00000001 -00000000 -00000000 -00000000 -19999999 -00000000 -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -66666666 -00000000 -66666666 -00000000 -3c56fbbb -00000000 -00000002 -00000000 -00000001 -00000000 -243430a3 -00000000 -00000003 -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -5a827999 -00000000 -00000002 -00000000 -00000001 -00000000 -2d413ccc -00000000 -00000003 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -1e2b7ddd -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -b504f333 -ffffffff -b504f333 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -1c71c71c -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -2aaaaaaa -00000000 -00000001 -00000000 -00000000 -00000000 -15555555 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0e38e38e -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -00000000 -55555554 -00000000 -38e38e38 -00000000 -00000001 -00000000 -00000000 -00000000 -22222221 -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -55555554 -00000000 -00000002 -00000000 -00000001 -00000000 -2aaaaaaa -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -1c71c71c -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -aaaaaaa9 -ffffffff -aaaaaaa9 -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -11111110 -00000000 -00000000 -00000000 -00000000 -00000000 -0a3d70a3 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -19999999 -00000000 -00000000 -00000000 -00000000 -00000000 -0ccccccc -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08888888 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -33333332 -00000000 -33333332 -00000000 -22222221 -00000000 -00000001 -00000000 -00000000 -00000000 -147ae147 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -33333332 -00000000 -00000001 -00000000 -00000000 -00000000 -19999999 -00000000 -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -11111110 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -66666665 -00000000 -66666665 -00000000 -3c56fbbb -00000000 -00000002 -00000000 -00000001 -00000000 -243430a3 -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -5a827999 -00000000 -00000002 -00000000 -00000001 -00000000 -2d413ccc -00000000 -00000003 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -1e2b7ddd -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -b504f332 -ffffffff -b504f332 -ffffffff -55555555 -00000000 -00000003 -00000000 -00000001 -00000000 -33333333 -00000000 -00000005 -00000000 -00000002 -00000000 -00000001 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -7fffffff -00000000 -00000003 -00000000 -00000001 -00000000 -3fffffff -00000000 -00000005 -00000000 -00000002 -00000000 -00000001 -00000000 -00000001 -00000000 -00000002 -00000000 -00000001 -00000000 -2aaaaaaa -00000000 -00000004 -00000000 -00000002 -00000000 -00000001 -00000000 -ffffffff -ffffffff -1c71c71c -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -2aaaaaab -00000000 -00000001 -00000000 -00000000 -00000000 -15555555 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -0e38e38e -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -55555556 -00000000 -55555556 -00000000 -38e38e39 -00000000 -00000002 -00000000 -00000001 -00000000 -22222222 -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -55555555 -00000000 -00000002 -00000000 -00000001 -00000000 -2aaaaaaa -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -1c71c71c -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -aaaaaaab -ffffffff -aaaaaaab -ffffffff -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0a3d70a4 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -1999999a -00000000 -00000000 -00000000 -00000000 -00000000 -0ccccccd -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08888888 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -33333334 -00000000 -33333334 -00000000 -22222222 -00000000 -00000000 -00000000 -147ae147 -00000000 -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -33333333 -00000000 -00000001 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -66666667 -00000000 -66666667 -00000000 -3c56fbbc -00000000 -00000002 -00000000 -00000001 -00000000 -243430a4 -00000000 -00000003 -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -5a82799a -00000000 -00000002 -00000000 -00000001 -00000000 -2d413ccd -00000000 -00000003 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -00000000 -00000002 -00000000 -00000001 -00000000 -1e2b7dde -00000000 -00000003 -00000000 -00000001 -00000000 -00000001 -00000000 -b504f334 -ffffffff -b504f334 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divw-01.reference_output deleted file mode 100644 index 377ac7085..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/divw-01.reference_output +++ /dev/null @@ -1,1344 +0,0 @@ -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ff800000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000080 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffff8 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffeaab -ffffffff -00000000 -00000000 -fcfcfcfe -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffff8080 -ffffffff -00000000 -00000000 -00000000 -00000000 -fffffff9 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000001f -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffe00 -ffffffff -04000001 -00000000 -00000001 -00000000 -fffe0000 -ffffffff -b504f332 -ffffffff -00000001 -00000000 -55555555 -00000000 -aaaaaaab -ffffffff -00000000 -00000000 -00000000 -00000000 -01000001 -00000000 -00800001 -00000000 -00200001 -00000000 -00000008 -00000000 -00000001 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -00000081 -00000000 -fffffffc -ffffffff -00000000 -00000000 -4afb0cce -00000000 -04000001 -00000000 -00000001 -00000000 -00400001 -00000000 -fff00000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000201 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffff0 -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -fe000000 -ffffffff -ffc3c3c4 -ffffffff -00000000 -00000000 -f3333334 -ffffffff -c0000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000401 -00000000 -00000200 -00000000 -00000000 -00000000 -fffff8e4 -ffffffff -000007c1 -00000000 -00020001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -10000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -1c71c71c -00000000 -00000001 -00000000 -00000000 -00000000 -11111111 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -2aaaaaaa -00000000 -00000001 -00000000 -ffffffff -ffffffff -15555555 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -0e38e38e -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -e38e38e4 -ffffffff -ffffffff -ffffffff -00000001 -00000000 -eeeeeeef -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -d5555555 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -eaaaaaab -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -f1c71c72 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -00000000 -00000000 -00000000 -00000000 -00000000 -0a3d70a3 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -19999999 -00000000 -00000000 -00000000 -ffffffff -ffffffff -0ccccccc -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08888888 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -22222222 -00000000 -00000001 -00000000 -ffffffff -ffffffff -147ae147 -00000000 -00000002 -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -33333333 -00000000 -00000001 -00000000 -ffffffff -ffffffff -19999999 -00000000 -00000002 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -ffffffff -ffffffff -11111111 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -18fe5999 -00000000 -00000000 -00000000 -00000000 -00000000 -0eff028f -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -257d8666 -00000000 -00000000 -00000000 -ffffffff -ffffffff -12bec333 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0c7f2ccc -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -e701a667 -ffffffff -00000000 -00000000 -00000000 -00000000 -f100fd71 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -da82799a -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ed413ccd -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -f380d334 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -1c71c71c -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -2aaaaaaa -00000000 -00000001 -00000000 -ffffffff -ffffffff -15555555 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -0e38e38e -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -00000000 -00000000 -00000000 -00000000 -00000000 -0a3d70a3 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -19999999 -00000000 -00000000 -00000000 -ffffffff -ffffffff -0ccccccc -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08888888 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -22222221 -00000000 -00000001 -00000000 -ffffffff -ffffffff -147ae147 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -33333332 -00000000 -00000001 -00000000 -ffffffff -ffffffff -19999999 -00000000 -00000002 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -ffffffff -ffffffff -11111110 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -e701a666 -ffffffff -00000000 -00000000 -00000000 -00000000 -f100fd71 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -da827999 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ed413ccd -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -f380d333 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -1c71c71c -00000000 -00000001 -00000000 -ffffffff -ffffffff -11111111 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -2aaaaaab -00000000 -00000001 -00000000 -ffffffff -ffffffff -15555555 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -ffffffff -ffffffff -0e38e38e -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -e38e38e4 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -eeeeeeef -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -d5555556 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -eaaaaaab -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -f1c71c72 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -00000000 -00000000 -00000000 -00000000 -00000000 -0a3d70a4 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -1999999a -00000000 -00000000 -00000000 -ffffffff -ffffffff -0ccccccd -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -08888888 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -22222222 -00000000 -00000001 -00000000 -ffffffff -ffffffff -147ae147 -00000000 -00000002 -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -33333333 -00000000 -00000001 -00000000 -ffffffff -ffffffff -19999999 -00000000 -00000002 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000001 -00000000 -ffffffff -ffffffff -11111111 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -ffffffff -ffffffff -18fe599a -00000000 -00000000 -00000000 -00000000 -00000000 -0eff028f -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -257d8667 -00000000 -00000000 -00000000 -ffffffff -ffffffff -12bec333 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -0c7f2ccd -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -e701a667 -ffffffff -00000000 -00000000 -00000000 -00000000 -f100fd71 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -da82799a -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ed413ccd -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -f380d334 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -fffffc00 -ffffffff -00000000 -00000000 -00004000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mul-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mul-01.reference_output deleted file mode 100644 index 05417e617..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mul-01.reference_output +++ /dev/null @@ -1,1364 +0,0 @@ -00000000 -00000010 -0a3d70a4 -3d70a3d7 -b504f333 -33300000 -00000000 -efffe000 -00200001 -00000100 -00000000 -00000000 -00000000 -00000000 -00000001 -a0000000 -00000000 -00000000 -00000000 -80000000 -00000000 -00000000 -fffffffc -ffffffff -00000100 -00000000 -00000000 -04000000 -00000400 -00000000 -55555560 -55555555 -00000000 -00000000 -fffffd80 -ffffffff -00000180 -00000000 -00000000 -00000004 -00000000 -04000000 -fefff800 -ffffffff -00000000 -00000000 -ffffe000 -dfffffff -00004000 -00000000 -00000000 -00001000 -ffff0000 -bfffffff -aaaa0000 -aaaaaaaa -fffc0000 -7fffffff -fff80000 -fffffeff -33300000 -000b504f -ffc00000 -ffffffff -ff800000 -ffffffff -f8000000 -ffffffff -cc000000 -cccccccc -e8000000 -ffffffff -e0000000 -ffffffff -d0000000 -ffffffff -e0000000 -fffffdff -80000000 -2d413ccc -80000000 -00000001 -00000000 -55555556 -00000000 -fffffffe -00000000 -00000080 -00000000 -00100000 -00000000 -fffffdf0 -00000000 -00000000 -00000000 -dfffffc0 -00000000 -00200000 -00000000 -55555600 -00000000 -00010000 -00000000 -fffffc00 -00000000 -fffff800 -00000000 -00000000 -00000000 -00000000 -00000000 -00018000 -00000000 -55560000 -00000000 -00080000 -00000000 -fffc0000 -00000000 -fff80000 -00000000 -00000000 -00000000 -00000000 -00000000 -01c00000 -00000000 -ff800000 -00000000 -ff000000 -00000000 -fe000000 -00000000 -e8000000 -00000000 -90000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffee0 -ffffffff -20000011 -00000002 -00000021 -00000420 -f641bfb2 -0000002d -00000000 -fffdfc00 -ffffdfe0 -ffffffff -fffdff00 -ffffffff -fffbff00 -ffffffff -00040881 -00000000 -00001001 -02002000 -00000000 -fffdfff0 -bfff0000 -ffffffff -00002aab -00000000 -00030001 -00000002 -ff800000 -fffffeff -00048001 -00000002 -b15b0ccc -fffa57d7 -00000000 -f7ffffc0 -00401001 -00000004 -80000000 -ffbfffff -01000001 -00020000 -ffffc000 -ffffff7f -44000011 -00000000 -c7fffff9 -ffffffff -10000001 -00000000 -fffff000 -fffffdff -aaaaaaac -55555555 -80004001 -00002000 -00100001 -00100001 -00000000 -fffffc00 -00000001 -00040004 -ffffffc0 -fffffdff -fff80000 -ff7fffff -fff80000 -feffffff -ccccccce -0000004c -00000000 -ffffc000 -ffffffe0 -ffffbfff -ff800000 -ffffffff -00000001 -00000880 -ffffff00 -ffefffff -00000000 -ffff8000 -00000000 -ffffe000 -00000001 -00008008 -55555556 -aaab5555 -99999999 -cccb9999 -00000001 -80040000 -fff80000 -ffffffff -00000001 -04200000 -ff000000 -ffffffff -00000101 -80800000 -9999999a -33999999 -00000000 -fff80000 -00000001 -08000000 -fffffe00 -ffffffff -00000081 -10000000 -40000001 -20000000 -fffffffe -7fffffff -55555554 -55555555 -5555555c -55555555 -fffffff8 -efffffff -00000000 -00000000 -99999000 -99999999 -cccca000 -cccccccc -00000000 -00040000 -66600000 -66666666 -ffe00000 -fffffff7 -00c00000 -00000000 -9c000000 -99999999 -98000000 -99999999 -00000000 -00080000 -00000000 -00000000 -00000000 -00000200 -00000000 -55555556 -00000000 -00000024 -00000000 -02000000 -00000000 -10000000 -00000000 -fffffe00 -00000000 -fffff800 -00000000 -fffe0000 -00000000 -fffc0000 -00000000 -00000000 -00000000 -00800000 -00000000 -00000000 -00000000 -ff800000 -00000000 -ff000000 -00000000 -00000000 -00000000 -f8000000 -00000000 -00000000 -00000000 -e0000000 -00000005 -14000000 -00001209 -00000000 -bf000000 -ffffffff -40000201 -00000080 -00008421 -00000000 -33333cce -33333333 -fffe0000 -fffffffd -40041001 -00000000 -00000000 -fbffff80 -00400001 -02000000 -04000004 -00000000 -01555556 -00000000 -00000000 -fffff800 -00000000 -fffffe00 -dffffffe -ffffffff -00000000 -ff000000 -00000001 -00020001 -fffffff9 -fffffff1 -00800001 -02000004 -00000000 -00000000 -02000001 -80000040 -fe000000 -ffffffff -fff00000 -7fffffff -ffffff00 -ffefffff -00000000 -ffff0000 -fffffe00 -efffffff -00000001 -08100000 -fffff800 -ffffffff -00000000 -fffff800 -00000001 -01000001 -55555556 -01555555 -00000000 -e0000000 -0000000a -80000000 -00000009 -00000000 -ffffffff -ffffffff -fffffffe -ffffffff -0000000f -00000000 -99999999 -99999999 -33333332 -33333333 -e0f12667 -fffffffd -1f0ed999 -00000002 -00000006 -00000000 -fffffffc -ffffffff -00000000 -00000000 -0000000c -00000000 -99999996 -99999999 -3333332f -33333333 -1f0ed996 -00000002 -00000002 -00000000 -00000001 -00000000 -00000012 -00000000 -9999999c -99999999 -33333335 -33333333 -e0f1266a -fffffffd -1f0ed99c -00000002 -ffffffff -ffffffff -38e38e39 -8e38e38e -71c71c72 -1c71c71c -aaaaaaa9 -aaaaaaaa -eeeeeeef -eeeeeeee -ddddddde -dddddddd -91ac5111 -55555555 -6e53aeef -aaaaaaaa -aaaaaaaa -aaaaaaaa -e38e38e4 -38e38e38 -00000000 -00000000 -55555554 -55555555 -9999999a -99999999 -88888889 -88888888 -18fe599a -55555555 -8e38e38e -e38e38e3 -c71c71c7 -71c71c71 -fffffffe -ffffffff -44444444 -44444444 -33333333 -33333333 -e701a666 -aaaaaaaa -c3a90444 -ffffffff -fffffffe -ffffffff -71c71c72 -1c71c71c -e38e38e4 -38e38e38 -55555552 -55555555 -ddddddde -dddddddd -bbbbbbbc -bbbbbbbb -2358a222 -aaaaaaab -dca75dde -55555554 -55555554 -55555555 -c71c71c8 -71c71c71 -00000000 -00000000 -aaaaaaa8 -aaaaaaaa -33333334 -33333333 -11111112 -11111111 -31fcb334 -aaaaaaaa -1c71c71c -c71c71c7 -8e38e38e -e38e38e3 -fffffffc -ffffffff -88888888 -88888888 -66666666 -66666666 -ce034ccc -55555555 -87520888 -ffffffff -0000000f -00000000 -aaaaaaa9 -aaaaaaaa -55555552 -55555555 -00000019 -00000000 -ffffffff -ffffffff -fffffffe -ffffffff -76e74001 -fffffffc -8918bfff -00000003 -0000000a -00000000 -aaaaaaa4 -aaaaaaaa -00000000 -00000000 -00000014 -00000000 -fffffffa -ffffffff -fffffff9 -ffffffff -8918bffa -00000003 -aaaaaaae -aaaaaaaa -55555557 -55555555 -0000001e -00000000 -00000004 -00000000 -00000003 -00000000 -76e74006 -fffffffc -8918c004 -00000003 -99999999 -99999999 -eeeeeeef -eeeeeeee -ddddddde -dddddddd -ffffffff -ffffffff -c28f5c29 -8f5c28f5 -851eb852 -1eb851eb -576763d7 -33333333 -a8989c29 -cccccccc -66666666 -66666666 -bbbbbbbc -bbbbbbbb -00000000 -00000000 -cccccccc -cccccccc -8f5c28f6 -5c28f5c2 -51eb851f -eb851eb8 -756568f6 -99999999 -22222222 -22222222 -11111111 -11111111 -33333332 -33333333 -f5c28f5c -c28f5c28 -b851eb85 -51eb851e -8a9a970a -66666666 -dbcbcf5c -ffffffff -33333332 -33333333 -ddddddde -dddddddd -bbbbbbbc -bbbbbbbb -fffffffe -ffffffff -851eb852 -1eb851eb -0a3d70a4 -3d70a3d7 -aecec7ae -66666666 -51313852 -99999999 -cccccccc -cccccccc -77777778 -77777777 -00000000 -00000000 -99999998 -99999999 -1eb851ec -b851eb85 -a3d70a3e -d70a3d70 -eacad1ec -33333332 -44444444 -44444444 -22222222 -22222222 -66666664 -66666666 -eb851eb8 -851eb851 -70a3d70a -a3d70a3d -15352e14 -cccccccd -b7979eb8 -ffffffff -e0f12667 -fffffffd -91ac5111 -55555555 -2358a222 -aaaaaaab -76e74001 -fffffffc -576763d7 -33333333 -aecec7ae -66666666 -9ea1dc29 -7ffffffe -615e23d7 -80000001 -95f6199a -fffffffe -46b14444 -55555556 -00000000 -00000000 -2bec3334 -fffffffd -0c6c570a -33333334 -63d3bae1 -66666667 -1663170a -80000002 -dca75dde -55555554 -6e53aeef -aaaaaaaa -c1e24cce -fffffffb -a26270a4 -33333332 -f9c9d47b -66666665 -e99ce8f6 -7ffffffd -ac5930a4 -80000000 -1f0ed999 -00000002 -6e53aeef -aaaaaaaa -dca75dde -55555554 -8918bfff -00000003 -a8989c29 -cccccccc -51313852 -99999999 -615e23d7 -80000001 -9ea1dc29 -7ffffffe -6a09e666 -00000001 -b94ebbbc -aaaaaaa9 -00000000 -00000000 -d413cccc -00000002 -f393a8f6 -cccccccb -9c2c451f -99999998 -e99ce8f6 -7ffffffd -2358a222 -aaaaaaab -91ac5111 -55555555 -3e1db332 -00000004 -5d9d8f5c -cccccccd -06362b85 -9999999a -1663170a -80000002 -53a6cf5c -7fffffff -00000006 -00000000 -aaaaaaaa -aaaaaaaa -55555554 -55555555 -0000000a -00000000 -66666666 -66666666 -cccccccc -cccccccc -95f6199a -fffffffe -6a09e666 -00000001 -00000004 -00000000 -aaaaaaa8 -aaaaaaaa -00000000 -00000000 -00000008 -00000000 -66666664 -66666666 -ccccccca -cccccccc -6a09e664 -00000001 -aaaaaaac -aaaaaaaa -55555556 -55555555 -0000000c -00000000 -66666668 -66666666 -ccccccce -cccccccc -95f6199c -fffffffe -6a09e668 -00000001 -fffffffc -ffffffff -e38e38e4 -38e38e38 -c71c71c8 -71c71c71 -aaaaaaa4 -aaaaaaaa -bbbbbbbc -bbbbbbbb -77777778 -77777777 -46b14444 -55555556 -b94ebbbc -aaaaaaa9 -aaaaaaa8 -aaaaaaaa -8e38e390 -e38e38e3 -00000000 -00000000 -55555550 -55555555 -66666668 -66666666 -22222224 -22222222 -63f96668 -55555554 -38e38e38 -8e38e38e -1c71c71c -c71c71c7 -fffffff8 -ffffffff -11111110 -11111111 -cccccccc -cccccccc -9c069998 -aaaaaaab -0ea41110 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000c -00000000 -aaaaaaa8 -aaaaaaaa -00000014 -00000000 -cccccccc -cccccccc -99999998 -99999999 -2bec3334 -fffffffd -d413cccc -00000002 -00000008 -00000000 -55555550 -55555555 -00000000 -00000000 -00000010 -00000000 -ccccccc8 -cccccccc -99999994 -99999999 -d413ccc8 -00000002 -55555558 -55555555 -aaaaaaac -aaaaaaaa -00000018 -00000000 -ccccccd0 -cccccccc -9999999c -99999999 -2bec3338 -fffffffd -d413ccd0 -00000002 -99999996 -99999999 -9999999a -99999999 -33333334 -33333333 -fffffffa -ffffffff -8f5c28f6 -5c28f5c2 -1eb851ec -b851eb85 -0c6c570a -33333334 -f393a8f6 -cccccccb -66666664 -66666666 -66666668 -66666666 -00000000 -00000000 -ccccccc8 -cccccccc -5c28f5c4 -28f5c28f -eb851eba -851eb851 -c06075c4 -99999998 -cccccccc -cccccccc -66666666 -66666666 -3333332c -33333333 -c28f5c28 -8f5c28f5 -51eb851e -eb851eb8 -3f9f8a3c -66666667 -26c6dc28 -ffffffff -3333332f -33333333 -88888889 -88888888 -11111112 -11111111 -fffffff9 -ffffffff -51eb851f -eb851eb8 -a3d70a3e -d70a3d70 -63d3bae1 -66666667 -9c2c451f -99999998 -ccccccca -cccccccc -22222224 -22222222 -00000000 -00000000 -99999994 -99999999 -eb851eba -851eb851 -3d70a3d9 -70a3d70a -35c5deba -33333332 -eeeeeeee -eeeeeeee -77777777 -77777777 -6666665e -66666666 -b851eb84 -51eb851e -0a3d70a3 -3d70a3d7 -ca3a2146 -cccccccd -0292ab84 -ffffffff -1f0ed996 -00000002 -18fe599a -55555555 -31fcb334 -aaaaaaaa -8918bffa -00000003 -756568f6 -99999999 -eacad1ec -33333332 -1663170a -80000002 -e99ce8f6 -7ffffffd -6a09e664 -00000001 -63f96668 -55555554 -00000000 -00000000 -d413ccc8 -00000002 -c06075c4 -99999998 -35c5deba -33333332 -3497f5c4 -7ffffffd -ce034ccc -55555555 -e701a666 -aaaaaaaa -3e1db32c -00000004 -2a6a5c28 -9999999a -9fcfc51e -33333333 -cb680a3c -80000002 -9ea1dc28 -7ffffffe -00000002 -00000000 -8e38e38e -e38e38e3 -1c71c71c -c71c71c7 -aaaaaaae -aaaaaaaa -22222222 -22222222 -44444444 -44444444 -dca75dde -55555554 -2358a222 -aaaaaaab -aaaaaaac -aaaaaaaa -38e38e38 -8e38e38e -00000000 -00000000 -55555558 -55555555 -cccccccc -cccccccc -eeeeeeee -eeeeeeee -ce034ccc -55555555 -e38e38e4 -38e38e38 -71c71c72 -1c71c71c -00000004 -00000000 -77777778 -77777777 -9999999a -99999999 -31fcb334 -aaaaaaaa -78adf778 -00000000 -00000001 -00000000 -c71c71c7 -71c71c71 -8e38e38e -e38e38e3 -55555557 -55555555 -11111111 -11111111 -22222222 -22222222 -6e53aeef -aaaaaaaa -91ac5111 -55555555 -55555556 -55555555 -1c71c71c -c71c71c7 -00000000 -00000000 -aaaaaaac -aaaaaaaa -66666666 -66666666 -77777777 -77777777 -e701a666 -aaaaaaaa -71c71c72 -1c71c71c -38e38e39 -8e38e38e -00000000 -00000000 -00000002 -00000000 -bbbbbbbc -bbbbbbbb -cccccccd -cccccccc -18fe599a -55555555 -3c56fbbc -00000000 -00000012 -00000000 -fffffffe -ffffffff -fffffffc -ffffffff -0000001e -00000000 -33333332 -33333333 -66666664 -66666666 -c1e24cce -fffffffb -3e1db332 -00000004 -0000000c -00000000 -fffffff8 -ffffffff -00000000 -00000000 -00000018 -00000000 -3333332c -33333333 -6666665e -66666666 -3e1db32c -00000004 -00000004 -00000000 -00000002 -00000000 -00000024 -00000000 -33333338 -33333333 -6666666a -66666666 -c1e24cd4 -fffffffb -3e1db338 -00000004 -9999999c -99999999 -44444444 -44444444 -88888888 -88888888 -00000004 -00000000 -f5c28f5c -c28f5c28 -eb851eb8 -851eb851 -a26270a4 -33333332 -5d9d8f5c -cccccccd -66666668 -66666666 -11111110 -11111111 -00000000 -00000000 -ccccccd0 -cccccccc -c28f5c28 -8f5c28f5 -b851eb84 -51eb851e -2a6a5c28 -9999999a -77777778 -77777777 -bbbbbbbc -bbbbbbbb -33333338 -33333333 -28f5c290 -f5c28f5c -1eb851ec -b851eb85 -d595a3d8 -66666665 -90d0c290 -00000000 -33333335 -33333333 -33333333 -33333333 -66666666 -66666666 -00000003 -00000000 -b851eb85 -51eb851e -70a3d70a -a3d70a3d -f9c9d47b -66666665 -06362b85 -9999999a -ccccccce -cccccccc -cccccccc -cccccccc -00000000 -00000000 -9999999c -99999999 -51eb851e -eb851eb8 -0a3d70a3 -3d70a3d7 -9fcfc51e -33333333 -9999999a -99999999 -cccccccd -cccccccc -6666666a -66666666 -1eb851ec -b851eb85 -d70a3d71 -0a3d70a3 -60303ae2 -cccccccc -6c9c91ec -00000000 -e0f1266a -fffffffd -e701a666 -aaaaaaaa -ce034ccc -55555555 -76e74006 -fffffffc -8a9a970a -66666666 -15352e14 -cccccccd -e99ce8f6 -7ffffffd -1663170a -80000002 -95f6199c -fffffffe -9c069998 -aaaaaaab -00000000 -00000000 -2bec3338 -fffffffd -3f9f8a3c -66666667 -ca3a2146 -cccccccd -cb680a3c -80000002 -31fcb334 -aaaaaaaa -18fe599a -55555555 -c1e24cd4 -fffffffb -d595a3d8 -66666665 -60303ae2 -cccccccc -3497f5c4 -7ffffffd -615e23d8 -80000001 -1f0ed99c -00000002 -c3a90444 -ffffffff -87520888 -ffffffff -8918c004 -00000003 -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ac5930a4 -80000000 -53a6cf5c -7fffffff -6a09e668 -00000001 -0ea41110 -ffffffff -00000000 -00000000 -d413ccd0 -00000002 -26c6dc28 -ffffffff -0292ab84 -ffffffff -9ea1dc28 -7ffffffe -78adf778 -00000000 -3c56fbbc -00000000 -3e1db338 -00000004 -90d0c290 -00000000 -6c9c91ec -00000000 -615e23d8 -80000001 -08abc290 -80000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000080 -9999999a -33333399 -00000010 -00000000 -ffffffc0 -ffffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulh-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulh-01.reference_output deleted file mode 100644 index 89450d6f1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulh-01.reference_output +++ /dev/null @@ -1,1356 +0,0 @@ -04000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000010 -55555555 -d5555555 -00000000 -00000000 -000000ff -00000000 -ffffffff -ffffffff -fc000000 -ffffffff -00000000 -00000000 -1fffffff -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffe0 -ffffffff -00000008 -00000000 -00000000 -00000000 -00000008 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000aaa -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00001000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffbffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00800000 -00000000 -ffffffff -ffffffff -0aaaaaaa -00000000 -00000000 -00000000 -ff7fffff -ffffffff -01000000 -00000000 -00000000 -00000000 -fdffffff -ffffffff -00080000 -00000000 -00000200 -00000000 -ffffffff -ffffffff -00080000 -00000000 -000000b5 -00000000 -fffffffe -ffffffff -00010000 -00000000 -00800000 -00000000 -33333333 -00000333 -00000000 -00000000 -fffbffff -ffffffff -feffffff -ffffffff -fffffffd -ffffffff -fffffeff -ffffffff -00040000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000040 -00000000 -ffffffff -ffffffff -00000000 -00200000 -00001000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00800000 -00000000 -ffffbfff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -fffffccc -ffffffff -fffffaaa -ffffffff -00000000 -00000000 -fffffeff -ffffffff -00000000 -00000000 -00000004 -00000000 -ffffff7f -ffffffff -fffffff7 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000100 -00000000 -00000000 -00000000 -00000000 -00000000 -ff555555 -ffffffff -ffffffff -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00020000 -00000000 -bfffffff -ffffffff -00000080 -00000000 -20000000 -00000000 -00000000 -00000002 -ffffffff -ffffffff -ffffffa5 -ffffffff -fffffbff -ffffffff -00100000 -00000000 -02000000 -00000000 -00000000 -00000000 -00200000 -00000000 -80000000 -00000000 -ffffffef -ffffffff -ffffffff -ffffffdf -00000000 -00001000 -00400000 -00000000 -ff7fffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00080000 -00000000 -99999999 -ff999999 -00000004 -00000000 -fff7ffff -ffffffff -fffffffb -ffffffff -aaaaaaaa -faaaaaaa -33333332 -f3333333 -7fffffff -ffffffff -00000005 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000100 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000040 -00000000 -00000000 -00000000 -55555555 -00000155 -ffffffff -ffffffff -fffffbff -ffffffff -ffffffff -ffffffff -fffffdff -ffffffff -00008000 -00000000 -00000000 -00000008 -aaaaaaaa -00aaaaaa -00000001 -00000000 -80000000 -00000000 -00000000 -00000000 -fffffffe -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000100 -00000000 -ffbfffff -ffffffff -00000000 -00000000 -ffffffef -ffffffff -ffffffff -ffffffff -00000002 -00000000 -00000000 -00000000 -ffbfffff -ffffffff -bfffffff -ffffffff -ffffffff -fffffeff -ffffffff -fffffeff -ffffffff -ffffffff -02000000 -00000000 -ffffffbf -ffffffff -ffffffff -ffdfffff -ffffffff -ffffff7f -fffffdff -ffffffff -00000400 -00000000 -c3a90444 -ffffffff -00000000 -00000000 -00000000 -00000000 -fffffffe -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -8e38e38e -e38e38e3 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -c3a90444 -ffffffff -3c56fbbb -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000000 -00000000 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -71c71c71 -1c71c71c -8e38e38e -e38e38e3 -00000001 -00000000 -11111111 -11111111 -22222222 -22222222 -c3a90444 -ffffffff -3c56fbbb -00000000 -fffffffe -ffffffff -8e38e38e -e38e38e3 -71c71c72 -1c71c71c -fffffffe -ffffffff -eeeeeeee -eeeeeeee -dddddddd -dddddddd -3c56fbbb -00000000 -ffffffff -ffffffff -8e38e38e -e38e38e3 -00000000 -00000000 -fffffffe -ffffffff -eeeeeeef -eeeeeeee -ddddddde -dddddddd -c3a90444 -ffffffff -8e38e38d -e38e38e3 -71c71c71 -1c71c71c -fffffffd -ffffffff -eeeeeeee -eeeeeeee -dddddddd -dddddddd -3c56fbbb -00000000 -c3a90443 -ffffffff -00000000 -00000000 -00000001 -00000000 -fffffffe -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -fffffffe -ffffffff -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -eeeeeeee -eeeeeeee -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -dbcbcf5c -ffffffff -243430a3 -00000000 -00000000 -00000000 -11111110 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -11111111 -11111111 -eeeeeeef -eeeeeeee -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -dbcbcf5c -ffffffff -243430a3 -00000000 -00000001 -00000000 -22222221 -22222222 -dddddddd -dddddddd -00000001 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -b7979eb8 -ffffffff -48686147 -00000000 -00000000 -00000000 -22222221 -22222222 -00000000 -00000000 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -22222222 -22222222 -ddddddde -dddddddd -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -b7979eb8 -ffffffff -48686147 -00000000 -ffffffff -ffffffff -c3a90444 -ffffffff -3c56fbbb -00000000 -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -3c56fbbb -00000000 -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -3c56fbbb -00000000 -c3a90444 -ffffffff -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -c3a90444 -ffffffff -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -8e38e38e -e38e38e3 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -c3a90444 -ffffffff -3c56fbbb -00000000 -00000000 -00000000 -71c71c70 -1c71c71c -00000000 -00000000 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -71c71c71 -1c71c71c -8e38e38e -e38e38e3 -00000001 -00000000 -11111111 -11111111 -22222221 -22222222 -c3a90444 -ffffffff -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -fffffffe -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -fffffffe -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -eeeeeeef -eeeeeeee -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -dbcbcf5c -ffffffff -243430a3 -00000000 -00000000 -00000000 -11111110 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -11111110 -11111111 -eeeeeeef -eeeeeeee -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -dbcbcf5c -ffffffff -243430a3 -00000000 -00000001 -00000000 -22222221 -22222222 -ddddddde -dddddddd -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -b7979eb8 -ffffffff -48686147 -00000000 -00000000 -00000000 -22222221 -22222222 -00000000 -00000000 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -22222221 -22222222 -ddddddde -dddddddd -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -b7979eb8 -ffffffff -48686147 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -c3a90444 -ffffffff -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -c3a90444 -ffffffff -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000001 -00000000 -71c71c71 -1c71c71c -8e38e38d -e38e38e3 -00000001 -00000000 -11111111 -11111111 -22222222 -22222222 -c3a90444 -ffffffff -3c56fbbb -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -00000000 -00000000 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -71c71c72 -1c71c71c -8e38e38e -e38e38e3 -00000002 -00000000 -11111111 -11111111 -22222222 -22222222 -c3a90444 -ffffffff -3c56fbbc -00000000 -ffffffff -ffffffff -8e38e38e -e38e38e3 -71c71c71 -1c71c71c -fffffffe -ffffffff -eeeeeeef -eeeeeeee -ddddddde -dddddddd -3c56fbbb -00000000 -c3a90444 -ffffffff -ffffffff -ffffffff -8e38e38e -e38e38e3 -00000000 -00000000 -fffffffe -ffffffff -eeeeeeef -eeeeeeee -ddddddde -dddddddd -c3a90444 -ffffffff -8e38e38e -e38e38e3 -71c71c71 -1c71c71c -fffffffe -ffffffff -eeeeeeee -eeeeeeee -dddddddd -dddddddd -3c56fbbb -00000000 -c3a90444 -ffffffff -00000000 -00000000 -00000001 -00000000 -fffffffd -ffffffff -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -fffffffe -ffffffff -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -11111111 -11111111 -eeeeeeee -eeeeeeee -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -dbcbcf5c -ffffffff -243430a3 -00000000 -00000000 -00000000 -11111111 -11111111 -00000000 -00000000 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -11111111 -11111111 -eeeeeeee -eeeeeeee -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -dbcbcf5c -ffffffff -243430a4 -00000000 -00000001 -00000000 -22222222 -22222222 -dddddddd -dddddddd -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -b7979eb8 -ffffffff -48686147 -00000000 -00000000 -00000000 -22222221 -22222222 -00000000 -00000000 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -22222222 -22222222 -dddddddd -dddddddd -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c3 -28f5c28f -b7979eb8 -ffffffff -48686148 -00000000 -ffffffff -ffffffff -3c56fbbb -00000000 -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -3c56fbbb -00000000 -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -3c56fbbb -00000000 -c3a90443 -ffffffff -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -00000000 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -3c56fbbc -00000000 -c3a90444 -ffffffff -00000000 -00000000 -243430a4 -00000000 -48686148 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -c3a90444 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhsu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhsu-01.reference_output deleted file mode 100644 index 1e298a0db..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhsu-01.reference_output +++ /dev/null @@ -1,1484 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000f -00000000 -ffffffff -ffffffff -00010000 -80000000 -00000000 -00000000 -ffffffff -01ffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffff7f -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffff7ff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00006666 -00000000 -00000400 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffefffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00200000 -00000000 -ffffffff -ffffffff -fff7ffff -ffffffff -00000000 -00000000 -f5555555 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -fffffffb -ffffffff -00000020 -00000000 -00020000 -00000000 -cccccccc -0000000c -00000000 -00000000 -fff7ffff -ffffffff -fffff7ff -ffffffff -ffffffff -fffffffd -ffffffff -fffffffd -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000400 -00000002 -00000000 -00010000 -00000000 -7fffffff -ffffffff -ffffdfff -ffffffff -00010000 -00000000 -ffffffbf -ffffffff -00000000 -00000000 -00002000 -00000000 -f7ffffff -ffffffff -fffbffff -ffffffff -33333333 -03333333 -ffffffff -ffffffff -00000004 -00000000 -ffffff7f -ffffffff -00020000 -00000000 -00ffffff -00000000 -efffffff -ffffffff -33333332 -33333333 -ffffffff -000007ff -ffffffff -000003ff -ffffffff -001fffff -ffffffff -003fffff -ffffffbe -7fffffff -00000000 -00000000 -ffffffff -00003fff -33333199 -33333333 -ffffefff -ffffffff -00001fff -00000000 -33332666 -33333333 -003fffff -00000000 -ffffffff -000003ff -fffffbff -00ffffff -00000004 -00000000 -ffffffff -0000000f -07ffffff -00000000 -33266665 -33333333 -33199999 -33333333 -fffeffff -00ffffff -0000000f -fffff800 -53ffffff -55555555 -0000007f -00000000 -4fffffff -55555555 -0000ffff -00000000 -fffff7ff -00001fff -fffffffb -00000007 -fffffff6 -ffffffff -fdffffff -00ffffff -1fffffff -00000000 -00000fff -fffffe00 -007fffff -00000000 -ff7fffff -0003ffff -ffffffff -07fffffd -ff7fffff -ffffffff -ffffffff -fe000003 -b504f05e -00000000 -00ffffff -ffffe000 -ffffffff -f00000ff -4afb236e -ffffffff -ffffffff -fff00003 -b50498b0 -00000000 -fffffffd -ffffffff -fc0007ff -ffffffff -0003ffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -fdffffff -0000000f -fbffffff -0000000f -00000006 -00000000 -ffffffff -ffffffff -00003f7f -00000000 -fffffffd -ffffffff -fffffffc -ffffffff -ffffffff -000077ff -ffffffff -000dffff -ffffffff -017fffff -00000000 -00000000 -ffffeaaa -ffffffff -00000000 -00000000 -00000007 -00000000 -0000002f -00000000 -00000000 -00000000 -000001ff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -03fffffd -00000000 -3fffffdf -00000000 -00800000 -00000000 -ffffffff -00000003 -00000000 -00000000 -ffffffff -0000003f -ffffffff -0000007f -00000000 -00000000 -ffffffff -000001ff -08000000 -00000000 -00000000 -00000800 -00000010 -00000000 -00000000 -00002000 -ffffffff -03ffffff -00000000 -00000020 -ff7fffff -3fffffff -fffffffe -ffffffff -fffffffb -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffbf -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffbff -ffffffff -ffffffff -ffffffff -ffffff7f -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffefffff -ffffffff -ffbfffff -ffffffff -fffffdff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffdfff -ffffffff -aaaaaaaa -fffffffa -00000000 -00000000 -ffffffff -ffffffff -ffffefff -ffffffff -ffffffff -ffffffff -fffffd2b -ffffffff -fffff000 -ffffffff -ffffffff -ffffbfff -00000000 -00000000 -fdffffff -ffffffff -00000003 -fffc0000 -fffff7ff -ffffffff -ffffffff -ffdfffff -ffffffff -fffffffb -ffffdfff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -55555554 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111111 -11111111 -22222222 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -fffffffe -ffffffff -8e38e38e -e38e38e3 -1c71c71c -c71c71c7 -fffffffe -ffffffff -eeeeeeee -eeeeeeee -dddddddd -dddddddd -c3a90444 -ffffffff -00000000 -00000000 -aaaaaaaa -ffffffff -ffffffff -ffffffff -8e38e38e -e38e38e3 -1c71c71c -c71c71c7 -fffffffe -ffffffff -eeeeeeef -eeeeeeee -ddddddde -dddddddd -c3a90444 -ffffffff -aaaaaaaa -ffffffff -8e38e38d -e38e38e3 -1c71c71b -c71c71c7 -fffffffd -ffffffff -eeeeeeee -eeeeeeee -dddddddd -dddddddd -c3a90443 -ffffffff -aaaaaaaa -ffffffff -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -33333332 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000001 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -66666665 -00000000 -22222222 -22222222 -44444444 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -ffffffff -ffffffff -c3a90444 -ffffffff -87520888 -ffffffff -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -87520888 -ffffffff -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -87520888 -ffffffff -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -71c71c70 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -55555554 -00000000 -71c71c71 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111111 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -33333332 -00000000 -11111110 -11111111 -22222221 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000001 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -22222221 -22222222 -44444442 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -66666665 -00000000 -22222221 -22222222 -44444443 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf776 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf776 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf776 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111111 -11111111 -22222222 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -55555555 -00000000 -71c71c72 -1c71c71c -e38e38e4 -38e38e38 -00000002 -00000000 -11111111 -11111111 -22222222 -22222222 -3c56fbbc -00000000 -00000000 -00000000 -55555555 -00000000 -ffffffff -ffffffff -8e38e38e -e38e38e3 -1c71c71c -c71c71c7 -fffffffe -ffffffff -eeeeeeef -eeeeeeee -ddddddde -dddddddd -c3a90444 -ffffffff -00000000 -00000000 -aaaaaaaa -ffffffff -ffffffff -ffffffff -8e38e38e -e38e38e3 -1c71c71d -c71c71c7 -fffffffe -ffffffff -eeeeeeef -eeeeeeee -ddddddde -dddddddd -c3a90444 -ffffffff -aaaaaaab -ffffffff -8e38e38e -e38e38e3 -1c71c71c -c71c71c7 -fffffffe -ffffffff -eeeeeeee -eeeeeeee -dddddddd -dddddddd -c3a90444 -ffffffff -66666666 -00000000 -ffffffff -ffffffff -c3a90444 -ffffffff -87520889 -ffffffff -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -87520889 -ffffffff -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -c3a90444 -ffffffff -87520889 -ffffffff -ffffffff -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbc -00000000 -78adf778 -00000000 -00000000 -00000000 -243430a4 -00000000 -48686148 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -aaaaaaaa -ffffffff -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -11111111 -11111111 -22222222 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -33333333 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a4 -00000000 -00000000 -00000000 -33333333 -00000000 -00000001 -00000000 -22222222 -22222222 -44444444 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -66666666 -00000000 -22222222 -22222222 -44444444 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c3 -28f5c28f -48686148 -00000000 -00000000 -00000000 -00003fff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhu-01.reference_output deleted file mode 100644 index 22ad15dc2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulhu-01.reference_output +++ /dev/null @@ -1,1628 +0,0 @@ -000000fe -ffffffe0 -fffffffe -fe00ffff -00000000 -00000000 -fffffffe -fffdffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000007 -00000000 -0000000f -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -000007ff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00007fff -00000000 -00000004 -00000000 -0001ffff -00000000 -0003ffef -00000000 -00000400 -00000000 -000fffff -00000000 -001fffff -00000000 -00001000 -00000000 -007fffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0fffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00040000 -00000000 -00008000 -00000000 -ffefffff -00000001 -00000000 -00000000 -00004000 -00000000 -aaaaaaaa -0000000a -ffffffff -0000001f -00000020 -00000000 -01000000 -00000000 -00000000 -00000000 -feffffff -000001ff -00000000 -00000000 -ffffffff -000007ff -66666666 -00000666 -00000000 -00000000 -01000000 -00000000 -00000000 -00000200 -ffffdfff -0000ffff -bfffffff -0001ffff -aaaaaaaa -0002aaaa -00000000 -00002000 -00000000 -00000000 -0016a09e -00000000 -feffffff -003fffff -00000000 -00002000 -00000000 -00000001 -00010000 -00000000 -ffffefff -03ffffff -ffffffff -07ffffef -33333333 -03333333 -00200000 -00000000 -ffffffff -2fffffff -00000000 -00000000 -00000004 -00000000 -ffffffff -000001ff -0000000f -00000000 -0007ffff -00000000 -ffffffec -ffffffff -ffffffff -007fffff -0001ffff -00000000 -00000003 -00000000 -000003ff -00000000 -ffffffff -0000007f -f7fffbfe -ffffffff -00000012 -00000000 -ffff6ffe -ffffffff -ffffdffe -ffffbfff -55552aaa -55555555 -fffe7ffe -ffffffff -fffdfffe -ffffffff -0000003f -00000000 -fff7fffe -fffff7ff -ffeffffa -ffffffff -ffffffdf -0000ffff -fffffdff -0007ffff -ff80000e -ffffdfff -a9fffffe -aaaaaaaa -fffffffd -000000ff -fc0003fe -fffeffff -0000000a -00000000 -9fffffff -aaaaaaaa -00000009 -00000000 -00000005 -00000000 -7fffffee -ffffffff -0003ffff -00000000 -fdfffffe -fffffffd -fffffeff -0000003f -00000003 -00000000 -ffeffffe -ffffffef -fffefffe -ffffffbf -ffffffff -0ffffff7 -fff7fffe -fffffeff -fffffbfe -fffffdff -ffffffde -fffffbff -ffffffde -fffff7ff -fffffdfe -ffffefff -7ffffffe -fffbe000 -fffffffe -bfffcfff -00000006 -00000000 -fffffffe -ffef000f -cccccccd -3332cccc -fffffffe -fffbc000 -0000000e -00000000 -fffffffe -ffe000ff -ffffffff -000ffdff -bfffffff -000000ff -1fefffff -00000000 -00000000 -00000000 -c0fffffe -fbffffff -ffffffff -003dffff -00000009 -00000000 -fffffffd -dfffffff -000005ff -00000000 -00000000 -00000000 -aaa95554 -aaaaaaaa -00000000 -00000000 -00000007 -00000000 -0000001f -00000000 -000000ff -00000000 -00000000 -00000000 -00003fff -00000000 -0000ffff -00000000 -00000000 -00000000 -001fffff -00000000 -00000000 -00000000 -00000000 -00000000 -3fffffff -00000000 -ffffbfff -00000000 -fffeffff -00000001 -ffffffef -00000003 -00000000 -00000000 -fffff7ff -0000000f -fff7ffff -0000001f -7fffffff -00000fff -fffffffd -00001fff -bfffffff -00003fff -00000000 -00001000 -fffffeff -00ffffff -00000000 -00000000 -fffffffe -1fffffff -ffffffff -3ffffffb -00000000 -00000004 -ffffffbe -ffffefff -ffffbf7e -ffffffff -ffffffff -0000000f -fff7f7fe -ffffffff -feffeffe -ffffffff -ffffffff -00003fff -ffffbffe -fffffffe -ffd7fffe -ffffffff -fffffeff -0003ffff -fffffffb -000007ff -fefffffa -ffffffff -ffffffff -00000001 -8000000e -ffffffdf -efffffff -0fffffff -99999999 -66666665 -00000006 -fffffff7 -fffdffff -00000fff -00003ffe -fffffec0 -bfffffff -007fffff -00000003 -00000000 -7ffffdff -00000000 -ffffefff -00000000 -0ffbffff -00000000 -fff803fe -ff7fffff -99999997 -65999999 -b230df65 -00000000 -01efffff -00000000 -bfffffff -00000003 -0037ffff -00000000 -ffffffff -00ffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -55555554 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111111 -11111111 -22222222 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000001 -00000000 -e38e38e3 -38e38e38 -c71c71c6 -71c71c71 -00000003 -00000000 -22222221 -22222222 -44444443 -44444444 -78adf777 -00000000 -00000000 -00000000 -aaaaaaaa -00000000 -00000001 -00000000 -e38e38e2 -38e38e38 -c71c71c5 -71c71c71 -00000002 -00000000 -22222221 -22222222 -44444443 -44444444 -78adf776 -00000000 -aaaaaaa9 -00000000 -e38e38e3 -38e38e38 -c71c71c6 -71c71c71 -00000003 -00000000 -22222222 -22222222 -44444444 -44444444 -78adf777 -00000000 -00000000 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -33333332 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000001 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -66666665 -00000000 -22222222 -22222222 -44444444 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaaa -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaaa -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaaa -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -71c71c70 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -55555554 -00000000 -71c71c71 -1c71c71c -e38e38e2 -38e38e38 -00000001 -00000000 -11111111 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000001 -00000000 -e38e38e2 -38e38e38 -c71c71c5 -71c71c71 -00000003 -00000000 -22222221 -22222222 -44444443 -44444444 -78adf777 -00000000 -00000000 -00000000 -aaaaaaaa -00000000 -00000001 -00000000 -e38e38e2 -38e38e38 -c71c71c4 -71c71c71 -00000002 -00000000 -22222221 -22222222 -44444442 -44444444 -78adf776 -00000000 -aaaaaaa9 -00000000 -e38e38e3 -38e38e38 -c71c71c6 -71c71c71 -00000003 -00000000 -22222222 -22222222 -44444443 -44444444 -78adf777 -00000000 -00000000 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -11111110 -11111111 -22222221 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -33333332 -00000000 -11111110 -11111111 -22222221 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae0 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000001 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -66666666 -00000000 -00000000 -00000000 -22222221 -22222222 -44444442 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c1 -28f5c28f -48686147 -00000000 -66666665 -00000000 -22222221 -22222222 -44444443 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf776 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf776 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf776 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -00000000 -aaaaaaa9 -00000000 -00000000 -00000000 -33333332 -00000000 -66666665 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -00000000 -aaaaaaa9 -00000000 -00000000 -00000000 -33333332 -00000000 -66666665 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaaa -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111111 -11111111 -22222222 -22222222 -3c56fbbb -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -71c71c71 -1c71c71c -e38e38e3 -38e38e38 -00000001 -00000000 -11111110 -11111111 -22222221 -22222222 -3c56fbbb -00000000 -55555555 -00000000 -71c71c72 -1c71c71c -e38e38e4 -38e38e38 -00000002 -00000000 -11111111 -11111111 -22222222 -22222222 -3c56fbbc -00000000 -00000000 -00000000 -55555555 -00000000 -00000002 -00000000 -e38e38e3 -38e38e38 -c71c71c6 -71c71c71 -00000003 -00000000 -22222222 -22222222 -44444444 -44444444 -78adf777 -00000000 -00000000 -00000000 -aaaaaaaa -00000000 -00000001 -00000000 -e38e38e2 -38e38e38 -c71c71c6 -71c71c71 -00000002 -00000000 -22222221 -22222222 -44444443 -44444444 -78adf776 -00000000 -aaaaaaaa -00000000 -e38e38e4 -38e38e38 -c71c71c7 -71c71c71 -00000004 -00000000 -22222222 -22222222 -44444444 -44444444 -78adf778 -00000000 -00000000 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000003 -00000000 -00000001 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -00000000 -00000000 -33333333 -00000000 -00000000 -00000000 -11111111 -11111111 -22222222 -22222222 -00000000 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a3 -00000000 -33333333 -00000000 -11111111 -11111111 -22222222 -22222222 -00000001 -00000000 -d70a3d70 -0a3d70a3 -ae147ae1 -147ae147 -243430a4 -00000000 -00000000 -00000000 -33333333 -00000000 -00000001 -00000000 -22222222 -22222222 -44444444 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -22222221 -22222222 -44444443 -44444444 -00000001 -00000000 -ae147ae0 -147ae147 -5c28f5c2 -28f5c28f -48686147 -00000000 -66666666 -00000000 -22222222 -22222222 -44444444 -44444444 -00000002 -00000000 -ae147ae1 -147ae147 -5c28f5c3 -28f5c28f -48686148 -00000000 -00000000 -00000000 -66666666 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbb -00000000 -78adf777 -00000000 -00000000 -00000000 -243430a3 -00000000 -48686147 -00000000 -00000000 -00000000 -00000000 -00000000 -3c56fbbc -00000000 -78adf778 -00000000 -00000000 -00000000 -243430a4 -00000000 -48686148 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -33333333 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffffe -fe00ffff -0000003f -00000000 -00020000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulw-01.reference_output deleted file mode 100644 index 2c7902422..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/mulw-01.reference_output +++ /dev/null @@ -1,1344 +0,0 @@ -00000100 -00000000 -00000000 -00000000 -aaaaaad6 -ffffffff -aaaaaaaa -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -fffff800 -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00200001 -00000000 -00008000 -00000000 -aaaaaaa8 -ffffffff -10000000 -00000000 -fffffbf8 -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffc0 -ffffffff -ffffff00 -ffffffff -08000000 -00000000 -00040000 -00000000 -ff7ffc00 -ffffffff -33333000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fff60000 -ffffffff -199c0000 -00000000 -00000000 -00000000 -00000000 -00000000 -fff00000 -ffffffff -00000000 -00000000 -ffc00000 -ffffffff -ff800000 -ffffffff -ff000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -f8000000 -ffffffff -00000000 -00000000 -e0000000 -ffffffff -c0000000 -ffffffff -80000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffe00000 -ffffffff -00000003 -00000000 -0000002d -00000000 -ffffff70 -ffffffff -00000099 -00000000 -00021021 -00000000 -fffffdfc -ffffffff -ffbfc000 -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffe7fd -ffffffff -00000000 -00000000 -dfff8000 -ffffffff -00000000 -00000000 -00010001 -00000000 -cccb9999 -ffffffff -00040001 -00000000 -04080001 -00000000 -fdffffe0 -ffffffff -aad55556 -ffffffff -33ccccce -00000000 -e0000000 -ffffffff -02800001 -00000000 -f7fffffe -ffffffff -48000001 -00000000 -10000801 -00000000 -e0000007 -ffffffff -40000001 -00000000 -aaaaaaaa -ffffffff -00000001 -00000000 -00800001 -00000000 -55555556 -00000000 -00000001 -00000000 -00000001 -00000000 -02000001 -00000000 -00000000 -00000000 -aaaaaaaa -ffffffff -00000001 -00000000 -aaaaaaaa -ffffffff -00008001 -00000000 -00004001 -00000000 -00000001 -00000000 -9999999b -ffffffff -00000000 -00000000 -ccccccce -ffffffff -ff800000 -ffffffff -fffffff9 -ffffffff -ffff8000 -ffffffff -00000009 -00000000 -04000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -ffe00000 -ffffffff -00000001 -00000000 -fffffc00 -ffffffff -10000001 -00000000 -00000000 -00000000 -000aaaab -00000000 -00555556 -00000000 -00001000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffe0000 -ffffffff -ffc00000 -ffffffff -00000000 -00000000 -00000000 -00000000 -c0000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffff4 -ffffffff -aaaaaaa9 -ffffffff -00022011 -00000000 -ffdf0000 -ffffffff -20000101 -00000000 -ffeff800 -ffffffff -555552aa -00000000 -fdffff00 -ffffffff -00000000 -00000000 -00100001 -00000000 -00400001 -00000000 -ff000000 -ffffffff -b9999999 -ffffffff -fc000000 -ffffffff -00000000 -00000000 -00010001 -00000000 -00000001 -00000000 -00020001 -00000000 -00000000 -00000000 -40000001 -00000000 -00000000 -00000000 -4afb0ccd -00000000 -00000000 -00000000 -b504f332 -ffffffff -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000801 -00000000 -aaaaaaac -ffffffff -00000009 -00000000 -ffffffff -ffffffff -fffffffe -ffffffff -0000000f -00000000 -99999999 -ffffffff -33333332 -00000000 -e0f12667 -ffffffff -1f0ed999 -00000000 -00000006 -00000000 -fffffffc -ffffffff -00000000 -00000000 -0000000c -00000000 -99999996 -ffffffff -3333332f -00000000 -1f0ed996 -00000000 -00000002 -00000000 -00000001 -00000000 -00000012 -00000000 -9999999c -ffffffff -33333335 -00000000 -e0f1266a -ffffffff -1f0ed99c -00000000 -ffffffff -ffffffff -38e38e39 -00000000 -71c71c72 -00000000 -aaaaaaa9 -ffffffff -eeeeeeef -ffffffff -ddddddde -ffffffff -91ac5111 -ffffffff -6e53aeef -00000000 -aaaaaaaa -ffffffff -e38e38e4 -ffffffff -00000000 -00000000 -55555554 -00000000 -9999999a -ffffffff -88888889 -ffffffff -18fe599a -00000000 -8e38e38e -ffffffff -c71c71c7 -ffffffff -fffffffe -ffffffff -44444444 -00000000 -33333333 -00000000 -e701a666 -ffffffff -c3a90444 -ffffffff -fffffffe -ffffffff -71c71c72 -00000000 -e38e38e4 -ffffffff -55555552 -00000000 -ddddddde -ffffffff -bbbbbbbc -ffffffff -2358a222 -00000000 -dca75dde -ffffffff -55555554 -00000000 -c71c71c8 -ffffffff -00000000 -00000000 -aaaaaaa8 -ffffffff -33333334 -00000000 -11111112 -00000000 -31fcb334 -00000000 -1c71c71c -00000000 -8e38e38e -ffffffff -fffffffc -ffffffff -88888888 -ffffffff -66666666 -00000000 -ce034ccc -ffffffff -87520888 -ffffffff -0000000f -00000000 -aaaaaaa9 -ffffffff -55555552 -00000000 -00000019 -00000000 -ffffffff -ffffffff -fffffffe -ffffffff -76e74001 -00000000 -8918bfff -ffffffff -0000000a -00000000 -aaaaaaa4 -ffffffff -00000000 -00000000 -00000014 -00000000 -fffffffa -ffffffff -fffffff9 -ffffffff -8918bffa -ffffffff -aaaaaaae -ffffffff -55555557 -00000000 -0000001e -00000000 -00000004 -00000000 -00000003 -00000000 -76e74006 -00000000 -8918c004 -ffffffff -99999999 -ffffffff -eeeeeeef -ffffffff -ddddddde -ffffffff -ffffffff -ffffffff -c28f5c29 -ffffffff -851eb852 -ffffffff -576763d7 -00000000 -a8989c29 -ffffffff -66666666 -00000000 -bbbbbbbc -ffffffff -00000000 -00000000 -cccccccc -ffffffff -8f5c28f6 -ffffffff -51eb851f -00000000 -756568f6 -00000000 -22222222 -00000000 -11111111 -00000000 -33333332 -00000000 -f5c28f5c -ffffffff -b851eb85 -ffffffff -8a9a970a -ffffffff -dbcbcf5c -ffffffff -33333332 -00000000 -ddddddde -ffffffff -bbbbbbbc -ffffffff -fffffffe -ffffffff -851eb852 -ffffffff -0a3d70a4 -00000000 -aecec7ae -ffffffff -51313852 -00000000 -cccccccc -ffffffff -77777778 -00000000 -00000000 -00000000 -99999998 -ffffffff -1eb851ec -00000000 -a3d70a3e -ffffffff -eacad1ec -ffffffff -44444444 -00000000 -22222222 -00000000 -66666664 -00000000 -eb851eb8 -ffffffff -70a3d70a -00000000 -15352e14 -00000000 -b7979eb8 -ffffffff -e0f12667 -ffffffff -91ac5111 -ffffffff -2358a222 -00000000 -76e74001 -00000000 -576763d7 -00000000 -aecec7ae -ffffffff -9ea1dc29 -ffffffff -615e23d7 -00000000 -95f6199a -ffffffff -46b14444 -00000000 -00000000 -00000000 -2bec3334 -00000000 -0c6c570a -00000000 -63d3bae1 -00000000 -1663170a -00000000 -dca75dde -ffffffff -6e53aeef -00000000 -c1e24cce -ffffffff -a26270a4 -ffffffff -f9c9d47b -ffffffff -e99ce8f6 -ffffffff -ac5930a4 -ffffffff -1f0ed999 -00000000 -6e53aeef -00000000 -dca75dde -ffffffff -8918bfff -ffffffff -a8989c29 -ffffffff -51313852 -00000000 -615e23d7 -00000000 -9ea1dc29 -ffffffff -6a09e666 -00000000 -b94ebbbc -ffffffff -00000000 -00000000 -d413cccc -ffffffff -f393a8f6 -ffffffff -9c2c451f -ffffffff -e99ce8f6 -ffffffff -2358a222 -00000000 -91ac5111 -ffffffff -3e1db332 -00000000 -5d9d8f5c -00000000 -06362b85 -00000000 -1663170a -00000000 -53a6cf5c -00000000 -00000006 -00000000 -aaaaaaaa -ffffffff -55555554 -00000000 -0000000a -00000000 -66666666 -00000000 -cccccccc -ffffffff -95f6199a -ffffffff -6a09e666 -00000000 -00000004 -00000000 -aaaaaaa8 -ffffffff -00000000 -00000000 -00000008 -00000000 -66666664 -00000000 -ccccccca -ffffffff -6a09e664 -00000000 -aaaaaaac -ffffffff -55555556 -00000000 -0000000c -00000000 -66666668 -00000000 -ccccccce -ffffffff -95f6199c -ffffffff -6a09e668 -00000000 -fffffffc -ffffffff -e38e38e4 -ffffffff -c71c71c8 -ffffffff -aaaaaaa4 -ffffffff -bbbbbbbc -ffffffff -77777778 -00000000 -46b14444 -00000000 -b94ebbbc -ffffffff -8e38e390 -ffffffff -00000000 -00000000 -55555550 -00000000 -66666668 -00000000 -22222224 -00000000 -63f96668 -00000000 -38e38e38 -00000000 -1c71c71c -00000000 -fffffff8 -ffffffff -11111110 -00000000 -cccccccc -ffffffff -9c069998 -ffffffff -0ea41110 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000c -00000000 -55555554 -00000000 -aaaaaaa8 -ffffffff -00000014 -00000000 -cccccccc -ffffffff -99999998 -ffffffff -2bec3334 -00000000 -d413cccc -ffffffff -00000008 -00000000 -55555550 -00000000 -00000000 -00000000 -00000010 -00000000 -ccccccc8 -ffffffff -99999994 -ffffffff -d413ccc8 -ffffffff -55555558 -00000000 -aaaaaaac -ffffffff -00000018 -00000000 -ccccccd0 -ffffffff -9999999c -ffffffff -2bec3338 -00000000 -d413ccd0 -ffffffff -99999996 -ffffffff -9999999a -ffffffff -33333334 -00000000 -fffffffa -ffffffff -8f5c28f6 -ffffffff -1eb851ec -00000000 -0c6c570a -00000000 -f393a8f6 -ffffffff -66666664 -00000000 -66666668 -00000000 -00000000 -00000000 -ccccccc8 -ffffffff -5c28f5c4 -00000000 -eb851eba -ffffffff -c06075c4 -ffffffff -cccccccc -ffffffff -66666666 -00000000 -3333332c -00000000 -c28f5c28 -ffffffff -51eb851e -00000000 -3f9f8a3c -00000000 -26c6dc28 -00000000 -3333332f -00000000 -88888889 -ffffffff -11111112 -00000000 -fffffff9 -ffffffff -51eb851f -00000000 -a3d70a3e -ffffffff -63d3bae1 -00000000 -9c2c451f -ffffffff -ccccccca -ffffffff -22222224 -00000000 -00000000 -00000000 -99999994 -ffffffff -eb851eba -ffffffff -3d70a3d9 -00000000 -35c5deba -00000000 -eeeeeeee -ffffffff -77777777 -00000000 -6666665e -00000000 -b851eb84 -ffffffff -0a3d70a3 -00000000 -ca3a2146 -ffffffff -0292ab84 -00000000 -1f0ed996 -00000000 -18fe599a -00000000 -31fcb334 -00000000 -8918bffa -ffffffff -756568f6 -00000000 -eacad1ec -ffffffff -1663170a -00000000 -e99ce8f6 -ffffffff -6a09e664 -00000000 -63f96668 -00000000 -00000000 -00000000 -d413ccc8 -ffffffff -c06075c4 -ffffffff -35c5deba -00000000 -3497f5c4 -00000000 -ce034ccc -ffffffff -e701a666 -ffffffff -3e1db32c -00000000 -2a6a5c28 -00000000 -9fcfc51e -ffffffff -cb680a3c -ffffffff -9ea1dc28 -ffffffff -00000002 -00000000 -8e38e38e -ffffffff -1c71c71c -00000000 -aaaaaaae -ffffffff -22222222 -00000000 -44444444 -00000000 -dca75dde -ffffffff -2358a222 -00000000 -aaaaaaac -ffffffff -38e38e38 -00000000 -00000000 -00000000 -55555558 -00000000 -cccccccc -ffffffff -eeeeeeee -ffffffff -ce034ccc -ffffffff -e38e38e4 -ffffffff -71c71c72 -00000000 -00000004 -00000000 -77777778 -00000000 -9999999a -ffffffff -31fcb334 -00000000 -78adf778 -00000000 -00000001 -00000000 -c71c71c7 -ffffffff -8e38e38e -ffffffff -55555557 -00000000 -11111111 -00000000 -22222222 -00000000 -6e53aeef -00000000 -91ac5111 -ffffffff -55555556 -00000000 -1c71c71c -00000000 -00000000 -00000000 -aaaaaaac -ffffffff -66666666 -00000000 -77777777 -00000000 -e701a666 -ffffffff -71c71c72 -00000000 -38e38e39 -00000000 -00000002 -00000000 -bbbbbbbc -ffffffff -cccccccd -ffffffff -18fe599a -00000000 -3c56fbbc -00000000 -00000012 -00000000 -fffffffe -ffffffff -fffffffc -ffffffff -0000001e -00000000 -33333332 -00000000 -66666664 -00000000 -c1e24cce -ffffffff -3e1db332 -00000000 -0000000c -00000000 -fffffff8 -ffffffff -00000000 -00000000 -00000018 -00000000 -3333332c -00000000 -6666665e -00000000 -3e1db32c -00000000 -00000004 -00000000 -00000002 -00000000 -00000024 -00000000 -33333338 -00000000 -6666666a -00000000 -c1e24cd4 -ffffffff -3e1db338 -00000000 -9999999c -ffffffff -44444444 -00000000 -88888888 -ffffffff -00000004 -00000000 -f5c28f5c -ffffffff -eb851eb8 -ffffffff -a26270a4 -ffffffff -5d9d8f5c -00000000 -66666668 -00000000 -11111110 -00000000 -00000000 -00000000 -ccccccd0 -ffffffff -c28f5c28 -ffffffff -b851eb84 -ffffffff -2a6a5c28 -00000000 -77777778 -00000000 -bbbbbbbc -ffffffff -33333338 -00000000 -28f5c290 -00000000 -1eb851ec -00000000 -d595a3d8 -ffffffff -90d0c290 -ffffffff -33333335 -00000000 -33333333 -00000000 -66666666 -00000000 -00000003 -00000000 -b851eb85 -ffffffff -70a3d70a -00000000 -f9c9d47b -ffffffff -06362b85 -00000000 -ccccccce -ffffffff -cccccccc -ffffffff -00000000 -00000000 -9999999c -ffffffff -51eb851e -00000000 -0a3d70a3 -00000000 -9fcfc51e -ffffffff -9999999a -ffffffff -cccccccd -ffffffff -6666666a -00000000 -1eb851ec -00000000 -d70a3d71 -ffffffff -60303ae2 -00000000 -6c9c91ec -00000000 -e0f1266a -ffffffff -e701a666 -ffffffff -ce034ccc -ffffffff -76e74006 -00000000 -8a9a970a -ffffffff -15352e14 -00000000 -e99ce8f6 -ffffffff -1663170a -00000000 -95f6199c -ffffffff -9c069998 -ffffffff -00000000 -00000000 -2bec3338 -00000000 -3f9f8a3c -00000000 -ca3a2146 -ffffffff -cb680a3c -ffffffff -31fcb334 -00000000 -18fe599a -00000000 -c1e24cd4 -ffffffff -d595a3d8 -ffffffff -60303ae2 -00000000 -3497f5c4 -00000000 -615e23d8 -00000000 -1f0ed99c -00000000 -c3a90444 -ffffffff -87520888 -ffffffff -8918c004 -ffffffff -dbcbcf5c -ffffffff -b7979eb8 -ffffffff -ac5930a4 -ffffffff -53a6cf5c -00000000 -6a09e668 -00000000 -0ea41110 -00000000 -00000000 -00000000 -d413ccd0 -ffffffff -26c6dc28 -00000000 -0292ab84 -00000000 -9ea1dc28 -ffffffff -78adf778 -00000000 -3c56fbbc -00000000 -3e1db338 -00000000 -90d0c290 -ffffffff -6c9c91ec -00000000 -615e23d8 -00000000 -08abc290 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffb00000 -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/rem-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/rem-01.reference_output deleted file mode 100644 index 6f197d865..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/rem-01.reference_output +++ /dev/null @@ -1,1332 +0,0 @@ -00000000 -01000000 -00000000 -00000000 -fffffffe -ffffffff -fffffff8 -ffffffff -00000000 -00000000 -ffffffff -f7ffffff -00000000 -00000004 -ffffffdf -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -0000003f -00000000 -00000000 -00000000 -00000004 -00000000 -00000333 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00001555 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffbfff -ffffffff -00000000 -00000000 -fffffffa -ffffffff -00004000 -00000000 -ffffffff -ffffffff -ffffbfff -ffffffff -ffffffff -ffffffff -00080000 -00000000 -fffbffff -ffffffff -ffffffff -ffffffff -00020000 -00000000 -20000000 -00000000 -00000000 -00000000 -fffff7ff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -fdffffff -ffffffff -fffffffa -ffffffff -bfffffff -ffffffff -00000400 -00000000 -fffeffff -ffffffff -ffffffff -ffffffff -fffffffa -ffffffff -00000020 -00000000 -00000000 -00000000 -00000000 -00000000 -00000009 -00000000 -00008000 -00000000 -ffffffff -fffffffb -00000000 -00000000 -ffffffff -fffffffe -ffffffff -ffffffff -ffffffff -ffffffbf -ffffffff -ffff7fff -00000800 -00000000 -00000000 -00010000 -ffffffff -fffff7ff -00000004 -00000000 -ffffffff -fffffbff -00000000 -00000100 -10000000 -00000000 -4afb0cce -ffffffff -ffffffff -ffffffff -00000002 -00000000 -fffffffd -ffffffff -00000007 -00000000 -fffffffe -ffffffff -fffffff7 -ffffffff -ffffffdf -ffffffff -ffffffdf -ffffffff -00000010 -00000000 -fffffe7e -ffffffff -fffffffb -ffffffff -fffffe00 -ffffffff -00000fc1 -00000000 -00000004 -00000000 -00000002 -00000000 -fffffff8 -ffffffff -fffffeff -ffffffff -00000004 -00000000 -00000040 -00000000 -00000004 -00000000 -fffbffff -ffffffff -00000005 -00000000 -00000020 -00000000 -00000100 -00000000 -00ffffc1 -00000000 -00000002 -00000000 -fc00fffe -ffffffff -fffffbff -ffffffff -0fffffe1 -00000000 -e000001e -ffffffff -c3fffffe -ffffffff -00000080 -00000000 -fffdffff -ffffffff -e0000001 -00000001 -fffe0001 -00000003 -00000001 -00000000 -b504f332 -00000000 -08000000 -00000000 -ff800000 -000000ff -fffffffd -ffffffff -000001fe -fffffc00 -00080000 -00000000 -fff7ffff -ffffffff -ffffffbf -ffffffff -000001fe -ffff8000 -fffffbff -ffffffff -00000000 -00000200 -ffffffff -fffffffb -ffdfffff -ffffffff -fffffc01 -000fffff -fffff7ff -ffffffff -fffeffff -ffffffff -0000001e -ff000000 -00000008 -00000000 -ffff7fff -ffffffff -00000000 -00000004 -ffffffef -ffffffff -00000008 -00000000 -ffefffff -ffffffff -00008000 -00000000 -00000000 -00000000 -000000f1 -00000000 -00002000 -00000000 -00010000 -00000000 -00400000 -00000000 -04000000 -00000000 -80000000 -00000000 -00000000 -00000001 -000003e1 -00000000 -00000080 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffe001 -00000000 -ffffffff -00001fff -00000000 -00000000 -00000000 -00000000 -00000000 -00200000 -fffe0001 -0000003f -7685e185 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffffb -ffffffff -00000000 -00000000 -ffffff7f -ffffffff -fffffdff -ffffffff -fffffffb -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffe -ffffffff -dfffffff -ffffffff -fffffffd -ffffffff -ffffffff -fffffffd -ffffffff -ffffffef -fffffffd -ffffffff -ffffffff -ffffff7f -ffffffff -ffffffff -00000ffe -fffffffc -ffffffff -fffeffff -ffffffff -fffdffff -ffffffff -ffffffff -fffffffb -ffffffff -db43aa5b -ffffffff -ffffffff -efffffff -ffffaaaa -ffffffff -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000001 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000002 -00000000 -00000000 -00000000 -55555555 -55555555 -00000000 -00000000 -22222222 -22222222 -55555555 -55555555 -72e6206d -00000000 -72e6206d -00000000 -00000001 -00000000 -00000001 -00000000 -55555555 -55555555 -00000001 -00000000 -22222223 -22222222 -55555555 -55555555 -368f24b3 -00000000 -55555555 -55555555 -00000000 -00000000 -00000005 -00000000 -22222221 -22222222 -55555555 -55555555 -368f24b3 -00000000 -af3d1c29 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -dddddddd -dddddddd -aaaaaaaa -aaaaaaaa -8d19df92 -ffffffff -8d19df92 -ffffffff -00000000 -00000000 -fffffffe -ffffffff -aaaaaaaa -aaaaaaaa -fffffffe -ffffffff -dddddddc -dddddddd -aaaaaaaa -aaaaaaaa -c970db4c -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ddddddde -dddddddd -aaaaaaaa -aaaaaaaa -c970db4c -ffffffff -50c2e3d6 -ffffffff -00000002 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -33333333 -33333333 -33333333 -33333333 -00000003 -00000000 -00000000 -00000000 -33333333 -33333333 -44f079db -00000000 -44f079db -00000000 -00000001 -00000000 -33333333 -33333333 -33333333 -33333333 -00000003 -00000000 -00000001 -00000000 -33333333 -33333333 -8d58db23 -00000000 -33333333 -33333333 -33333333 -33333333 -00000003 -00000000 -33333333 -33333333 -33333333 -33333333 -8d58db23 -00000000 -b18d0bc7 -00000000 -00000000 -00000000 -11111111 -11111111 -11111110 -11111111 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -89e0f3b6 -00000000 -89e0f3b6 -00000000 -00000000 -00000000 -11111112 -11111111 -66666666 -66666666 -00000002 -00000000 -00000002 -00000000 -00000001 -00000000 -65acc314 -00000000 -11111110 -11111111 -11111111 -11111111 -00000000 -00000000 -33333332 -33333333 -66666666 -66666666 -65acc314 -00000000 -ae15245a -00000000 -fffffffe -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -fffffffc -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -fffffffd -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -ffffffff -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -fffffffb -ffffffff -4afb0ccd -ffffffff -4afb0ccd -ffffffff -ffffffff -ffffffff -4afb0ccd -ffffffff -00000002 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000004 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000003 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000001 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000005 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000001 -00000000 -b504f333 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000001 -00000000 -55555554 -55555555 -55555554 -55555555 -00000004 -00000000 -22222221 -22222222 -55555554 -55555555 -72e6206c -00000000 -72e6206c -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -55555555 -00000000 -00000000 -22222222 -22222222 -55555554 -55555555 -368f24b2 -00000000 -55555554 -55555555 -55555554 -55555555 -00000004 -00000000 -22222220 -22222222 -55555554 -55555555 -368f24b2 -00000000 -af3d1c28 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -44f079da -00000000 -44f079da -00000000 -00000000 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -00000000 -00000000 -33333332 -33333333 -8d58db22 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -8d58db22 -00000000 -b18d0bc6 -00000000 -00000002 -00000000 -11111110 -11111111 -1111110f -11111111 -00000000 -00000000 -33333332 -33333333 -66666665 -66666666 -89e0f3b5 -00000000 -89e0f3b5 -00000000 -00000001 -00000000 -11111111 -11111111 -66666665 -66666666 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -65acc313 -00000000 -1111110f -11111111 -11111110 -11111111 -00000005 -00000000 -33333331 -33333333 -66666665 -66666666 -65acc313 -00000000 -ae152459 -00000000 -00000001 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000003 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000000 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000002 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000000 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000004 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000000 -00000000 -b504f332 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -22222223 -22222222 -55555556 -55555555 -72e6206e -00000000 -72e6206e -00000000 -00000000 -00000000 -00000002 -00000000 -55555556 -55555555 -00000002 -00000000 -22222224 -22222222 -55555556 -55555555 -368f24b4 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -22222222 -22222222 -55555556 -55555555 -368f24b4 -00000000 -af3d1c2a -00000000 -fffffffe -ffffffff -00000000 -00000000 -aaaaaaab -aaaaaaaa -00000000 -00000000 -ddddddde -dddddddd -aaaaaaab -aaaaaaaa -8d19df93 -ffffffff -8d19df93 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -aaaaaaab -aaaaaaaa -ffffffff -ffffffff -dddddddd -dddddddd -aaaaaaab -aaaaaaaa -c970db4d -ffffffff -aaaaaaab -aaaaaaaa -00000000 -00000000 -fffffffb -ffffffff -dddddddf -dddddddd -aaaaaaab -aaaaaaaa -c970db4d -ffffffff -50c2e3d7 -ffffffff -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000001 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000002 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000001 -00000000 -33333334 -33333333 -33333334 -33333333 -00000004 -00000000 -00000001 -00000000 -33333334 -33333333 -44f079dc -00000000 -44f079dc -00000000 -00000000 -00000000 -33333334 -33333333 -33333334 -33333333 -00000000 -00000000 -00000002 -00000000 -33333334 -33333333 -8d58db24 -00000000 -33333334 -33333333 -33333334 -33333333 -00000004 -00000000 -00000000 -00000000 -33333334 -33333333 -8d58db24 -00000000 -b18d0bc8 -00000000 -00000001 -00000000 -11111112 -11111111 -11111111 -11111111 -00000002 -00000000 -00000001 -00000000 -00000001 -00000000 -89e0f3b7 -00000000 -89e0f3b7 -00000000 -00000001 -00000000 -11111113 -11111111 -66666667 -66666666 -00000003 -00000000 -00000003 -00000000 -00000002 -00000000 -65acc315 -00000000 -11111111 -11111111 -11111112 -11111111 -00000001 -00000000 -33333333 -33333333 -00000000 -00000000 -65acc315 -00000000 -ae15245b -00000000 -ffffffff -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -fffffffd -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -00000000 -00000000 -4afb0cce -ffffffff -4afb0cce -ffffffff -fffffffe -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -00000000 -00000000 -4afb0cce -ffffffff -4afb0cce -ffffffff -fffffffc -ffffffff -4afb0cce -ffffffff -4afb0cce -ffffffff -00000000 -00000000 -4afb0cce -ffffffff -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000002 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00800000 -00000000 -00000040 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remu-01.reference_output deleted file mode 100644 index 637ba5ce6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remu-01.reference_output +++ /dev/null @@ -1,1644 +0,0 @@ -55555555 -55555555 -00000000 -00000000 -fffff7ff -ffffffff -aaaaaaaa -aaaaaaaa -00000000 -00000000 -00000000 -00000000 -0000ffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000f -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000006 -00000000 -00000001 -00000000 -00000000 -00000000 -00000555 -00000000 -00000000 -00000000 -00000000 -00000000 -00007fff -00000000 -00000000 -00000000 -00000010 -00000000 -00000004 -00000000 -000fffff -00000000 -00000000 -00000000 -00000020 -00000000 -0004f332 -00000000 -ffffffef -ffffffff -00000002 -00000000 -03feffff -00000000 -07ffffff -00000000 -00000000 -00000000 -1bffffff -00000000 -01000000 -00000000 -66666665 -00000000 -ffffffff -00000000 -b504f332 -00000000 -ffffffff -00000003 -00000000 -00000000 -ffffffff -0000001f -aaaaaaaa -0000002a -ffffffff -0000007f -00000006 -00000000 -00000000 -00000000 -ffffffbf -000003ff -04000000 -00000000 -ffefffff -00000fff -00800000 -00000000 -ffffffff -00003fff -fff7ffff -00007fff -00000009 -00000000 -55555555 -00015555 -00000002 -00000000 -00000000 -00000010 -ffffffff -000fffbf -00000004 -00000000 -00000009 -00000000 -ffffffff -0077ffff -00000001 -00000000 -00100000 -00000000 -ffffbfff -03ffffff -20000000 -00000000 -00000100 -00000000 -dfffffff -1fffffff -00000000 -00001000 -00400000 -00000000 -00000000 -00000020 -66666667 -66666666 -33333332 -33333333 -ffffbfff -ffffffff -66666666 -66666666 -00100000 -00000000 -55555556 -55555555 -ffffffff -fffffbff -00080000 -00000000 -ffffffff -ffffefff -000003f0 -00000000 -aaaaaaa9 -aaaaaaaa -00000003 -00000000 -00000009 -00000000 -00000012 -00000000 -02000000 -00000000 -0000000e -00000000 -00000000 -00400000 -ffffffff -ffffffef -00000000 -00000000 -00000040 -00000000 -00180000 -00000000 -aaaaaaaa -aaaaaaaa -55555555 -55555555 -00000000 -00040000 -ffffffff -fffffffd -00000005 -00000000 -00000000 -00001000 -0ffffc00 -00000000 -ffffffff -fffeffff -55555555 -55555555 -ffffffff -fdffffff -ffe00000 -00000000 -00000001 -00000000 -ffffffff -fff7ffff -00000000 -08000000 -04000000 -00000000 -fc000000 -0000003f -00000000 -00000100 -33333334 -33333333 -00000000 -10000000 -00000000 -000003e0 -00008000 -00000000 -00080000 -00000000 -0000000a -00000000 -00000000 -00000400 -0000000f -00000000 -10000000 -00000000 -ffffff80 -0003ffff -00000000 -00040000 -00000000 -00000800 -10000000 -00000000 -ffffffff -ff7fffff -00002000 -00000000 -00000040 -00000000 -33333333 -33333333 -01000000 -00000000 -00000000 -07c00000 -33333334 -33333333 -20000000 -00000000 -00000000 -3ffe0000 -00000004 -00000000 -55555555 -55555455 -00000000 -00000040 -00000002 -00000000 -00000080 -00000000 -00000200 -00000000 -00000004 -00000000 -00000800 -00000000 -00000001 -00000000 -00004000 -00000000 -00020000 -00000000 -08000000 -00000000 -80000000 -00000000 -00000000 -00000002 -00000000 -00000004 -00000000 -00000000 -00000000 -00000080 -00000000 -00000200 -00000000 -00002000 -00000000 -00004000 -00000000 -00008000 -00000002 -00000000 -00000000 -00080000 -00000000 -00100000 -00000000 -00200000 -00000000 -00800000 -00000000 -01000000 -00000000 -00000000 -00000000 -04000000 -00000000 -20000000 -00000000 -40000000 -0000000e -00000000 -0000007d -00000000 -5555554f -55555555 -00000007 -00000000 -ffffffdf -000001ff -0007ff00 -00000000 -000ffe00 -00000000 -000003ff -00000000 -003fe000 -00000000 -3332b331 -33333333 -00000003 -00000000 -55515555 -55555555 -ffbfffff -ffffffff -ff800000 -000fffff -1f000000 -00000000 -fdffffff -0000003f -f7ffffff -0003ffff -45555554 -55555555 -c0000000 -0001ffff -00000001 -00000000 -58b26147 -00000000 -0000000a -00000000 -00000000 -00000380 -00000003 -00000000 -07ffffff -00000000 -00000007 -00000000 -00000000 -00300000 -ffffffff -0007ffff -ffffffff -00000003 -55555556 -51555555 -00000000 -10000000 -00003fff -00000000 -ffffffff -bfffffff -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000001 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000000 -00000000 -00000003 -00000000 -00000002 -00000000 -00000000 -00000000 -55555555 -55555555 -00000000 -00000000 -22222222 -22222222 -55555555 -55555555 -72e6206d -00000000 -55555555 -55555555 -55555555 -00000000 -00000001 -00000000 -00000001 -00000000 -55555555 -55555555 -00000001 -00000000 -22222223 -22222222 -55555555 -55555555 -368f24b3 -00000000 -aaaaaaaa -00000000 -55555555 -55555555 -55555555 -55555555 -00000005 -00000000 -22222221 -22222222 -55555555 -55555555 -af3d1c29 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -11111111 -44444444 -44444444 -30c74da7 -00000000 -aaaaaaaa -aaaaaaaa -aaaaaaaa -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -00000002 -00000000 -11111114 -11111111 -44444445 -44444444 -6d1e4966 -00000000 -55555555 -00000000 -55555554 -55555555 -aaaaaaaa -aaaaaaaa -00000004 -00000000 -1111110e -11111111 -44444443 -44444444 -a975451e -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -00000005 -00000000 -00000000 -00000000 -33333333 -33333333 -33333333 -33333333 -00000003 -00000000 -00000000 -00000000 -33333333 -33333333 -44f079db -00000000 -33333333 -33333333 -33333333 -00000000 -00000001 -00000000 -33333333 -33333333 -33333333 -33333333 -00000003 -00000000 -00000001 -00000000 -33333333 -33333333 -8d58db23 -00000000 -66666666 -00000000 -33333333 -33333333 -33333333 -33333333 -00000003 -00000000 -33333333 -33333333 -33333333 -33333333 -b18d0bc7 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -11111111 -66666666 -66666666 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -89e0f3b6 -00000000 -66666666 -66666666 -66666666 -00000000 -11111112 -11111111 -66666666 -66666666 -00000002 -00000000 -00000002 -00000000 -00000001 -00000000 -65acc314 -00000000 -cccccccc -00000000 -11111110 -11111111 -66666666 -66666666 -00000000 -00000000 -33333332 -33333333 -66666666 -66666666 -ae15245a -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000004 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000000 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000001 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000003 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000001 -00000000 -b504f333 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000005 -00000000 -b504f333 -00000000 -b504f333 -00000000 -b504f333 -00000000 -00000000 -00000000 -b504f333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -4afb0ccd -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -4afb0cce -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000004 -00000000 -00000000 -00000001 -00000000 -00000001 -4afb0ccc -00000000 -00000000 -00000000 -00000000 -00000001 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -55555554 -55555555 -55555554 -55555555 -00000004 -00000000 -22222221 -22222222 -55555554 -55555555 -72e6206c -00000000 -55555554 -55555555 -55555554 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -55555555 -00000000 -00000000 -22222222 -22222222 -55555554 -55555555 -368f24b2 -00000000 -aaaaaaa9 -00000000 -55555554 -55555555 -55555554 -55555555 -00000004 -00000000 -22222220 -22222222 -55555554 -55555555 -af3d1c28 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -55555554 -55555555 -aaaaaaa9 -aaaaaaaa -00000004 -00000000 -11111110 -11111111 -44444443 -44444444 -30c74da6 -00000000 -aaaaaaa9 -aaaaaaaa -aaaaaaa9 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -11111113 -11111111 -44444444 -44444444 -6d1e4965 -00000000 -55555554 -00000000 -55555553 -55555555 -aaaaaaa9 -aaaaaaaa -00000003 -00000000 -1111110d -11111111 -44444442 -44444444 -a975451d -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -44f079da -00000000 -33333332 -33333333 -33333332 -00000000 -00000000 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -00000000 -00000000 -33333332 -33333333 -8d58db22 -00000000 -66666665 -00000000 -33333332 -33333333 -33333332 -33333333 -00000002 -00000000 -33333332 -33333333 -33333332 -33333333 -b18d0bc6 -00000000 -00000000 -00000000 -00000000 -00000001 -00000002 -00000000 -11111110 -11111111 -66666665 -66666666 -00000000 -00000000 -33333332 -33333333 -66666665 -66666666 -89e0f3b5 -00000000 -66666665 -66666666 -66666665 -00000000 -00000001 -00000000 -11111111 -11111111 -66666665 -66666666 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -65acc313 -00000000 -cccccccb -00000000 -1111110f -11111111 -66666665 -66666666 -00000005 -00000000 -33333331 -33333333 -66666665 -66666666 -ae152459 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000003 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000000 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000002 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000000 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000004 -00000000 -b504f332 -00000000 -b504f332 -00000000 -b504f332 -00000000 -00000000 -00000000 -b504f332 -00000000 -00000000 -00000000 -ffffffff -00000000 -ffffffff -00000000 -00000000 -00000000 -ffffffff -00000000 -ffffffff -00000000 -4afb0ccc -00000000 -ffffffff -00000000 -ffffffff -00000000 -00000001 -00000000 -ffffffff -00000000 -ffffffff -00000000 -00000003 -00000000 -ffffffff -00000000 -ffffffff -00000000 -4afb0ccd -00000000 -00000000 -00000000 -ffffffff -00000000 -ffffffff -00000000 -00000003 -00000000 -ffffffff -00000000 -ffffffff -00000000 -4afb0ccb -00000000 -00000000 -00000000 -ffffffff -00000000 -00000000 -00000000 -00000001 -00000000 -55555556 -55555555 -00000001 -00000000 -22222223 -22222222 -55555556 -55555555 -72e6206e -00000000 -55555556 -55555555 -55555556 -00000000 -00000000 -00000000 -00000002 -00000000 -55555556 -55555555 -00000002 -00000000 -22222224 -22222222 -55555556 -55555555 -368f24b4 -00000000 -aaaaaaab -00000000 -00000000 -00000000 -55555556 -55555555 -00000000 -00000000 -22222222 -22222222 -55555556 -55555555 -af3d1c2a -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -11111112 -11111111 -44444445 -44444444 -30c74da8 -00000000 -aaaaaaab -aaaaaaaa -aaaaaaab -00000000 -00000001 -00000000 -00000003 -00000000 -00000002 -00000000 -00000003 -00000000 -11111115 -11111111 -44444446 -44444444 -6d1e4967 -00000000 -55555556 -00000000 -55555555 -55555555 -00000000 -00000000 -00000005 -00000000 -1111110f -11111111 -44444444 -44444444 -a975451f -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000001 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000002 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -33333334 -33333333 -33333334 -33333333 -00000004 -00000000 -00000001 -00000000 -33333334 -33333333 -44f079dc -00000000 -33333334 -33333333 -33333334 -00000000 -00000000 -00000000 -33333334 -33333333 -33333334 -33333333 -00000000 -00000000 -00000002 -00000000 -33333334 -33333333 -8d58db24 -00000000 -66666667 -00000000 -33333334 -33333333 -33333334 -33333333 -00000004 -00000000 -00000000 -00000000 -33333334 -33333333 -b18d0bc8 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -11111112 -11111111 -66666667 -66666666 -00000002 -00000000 -00000001 -00000000 -00000001 -00000000 -89e0f3b7 -00000000 -66666667 -66666666 -66666667 -00000000 -00000001 -00000000 -11111113 -11111111 -66666667 -66666666 -00000003 -00000000 -00000003 -00000000 -00000002 -00000000 -65acc315 -00000000 -cccccccd -00000000 -11111111 -11111111 -66666667 -66666666 -00000001 -00000000 -33333333 -33333333 -00000000 -00000000 -ae15245b -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000001 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000002 -00000000 -b504f334 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -b504f334 -00000000 -b504f334 -00000000 -00000000 -00000000 -00000000 -00000000 -b504f334 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -00000001 -00000001 -00000001 -00000001 -00000002 -00000000 -00000001 -00000001 -00000001 -00000001 -4afb0cce -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -4afb0ccf -00000000 -00000002 -00000000 -00000001 -00000001 -00000001 -00000001 -00000005 -00000000 -00000001 -00000001 -00000001 -00000001 -4afb0ccd -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000006 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00ffffef -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remuw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remuw-01.reference_output deleted file mode 100644 index d208d5667..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remuw-01.reference_output +++ /dev/null @@ -1,1636 +0,0 @@ -00000002 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000007 -00000000 -0000000f -00000000 -0000001f -00000000 -0000003f -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -000003ff -00000000 -000007ff -00000000 -00000000 -00000000 -00000000 -00000000 -00003ffe -00000000 -00000000 -00000000 -0000ffff -00000000 -00000000 -00000000 -0002aaab -00000000 -0007ffff -00000000 -00000000 -00000000 -001fffff -00000000 -00000000 -00000000 -00000000 -00000000 -01ffffff -00000000 -00002000 -00000000 -05555556 -00000000 -0ffffffd -00000000 -00000000 -00000000 -00000800 -00000000 -33333333 -00000000 -00100000 -00000000 -00000000 -00000000 -00000010 -00000000 -55555555 -00000000 -00000000 -00000000 -aaaaaaaa -ffffffff -fffffdff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000005 -00000000 -00000000 -00000000 -0000000f -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000006 -00000000 -ffffffbf -ffffffff -00000002 -00000000 -00000012 -00000000 -00000080 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -66666666 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -0000000b -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000005 -00000000 -55555554 -00000000 -00000003 -00000000 -b504f333 -ffffffff -00000000 -00000000 -33333334 -00000000 -00000040 -00000000 -00000000 -00000000 -ffffdfff -ffffffff -00000000 -00000000 -00080000 -00000000 -00000800 -00000000 -00000000 -00000000 -00000200 -00000000 -b504f332 -ffffffff -00007fe0 -00000000 -10000000 -00000000 -fffbffff -ffffffff -00000000 -00000000 -00080000 -00000000 -00000003 -00000000 -00000000 -00000000 -00000040 -00000000 -00000000 -00000000 -00000011 -00000000 -01fffe00 -00000000 -aaaaaaab -ffffffff -00000001 -00000000 -00000000 -00000000 -20000000 -00000000 -00000007 -00000000 -00000001 -00000000 -66666666 -00000000 -7fffffff -00000000 -00000002 -00000000 -00000000 -00000000 -00004000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffdfff -ffffffff -00000000 -00000000 -00000080 -00000000 -00000008 -00000000 -ffff7fff -ffffffff -fffffeff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -fffff7ff -ffffffff -efffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000012 -00000000 -55555556 -00000000 -00000000 -00000000 -ffffffdf -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fff7ffff -ffffffff -fffff7ff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000004 -00000000 -00000020 -00000000 -00000001 -00000000 -00000400 -00000000 -00001000 -00000000 -00000000 -00000000 -00010000 -00000000 -00020000 -00000000 -00040000 -00000000 -00200000 -00000000 -00000004 -00000000 -00800000 -00000000 -01000000 -00000000 -04000000 -00000000 -08000000 -00000000 -20000000 -00000000 -40000000 -00000000 -80000000 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000005 -00000000 -0ffffff7 -00000000 -000ffff0 -00000000 -ffffff7f -ffffffff -00000005 -00000000 -007ff000 -00000000 -0007bfff -00000000 -001effff -00000000 -fffdffff -ffffffff -00300000 -00000000 -ff7fffff -ffffffff -feffffff -ffffffff -fdffffff -ffffffff -fbffffff -ffffffff -f7ffffff -ffffffff -bfffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00003fff -00000000 -ffffffff -ffffffff -00000001 -00000000 -00008000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -03ffffff -00000000 -00004000 -00000000 -000001ff -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000001 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -22222222 -00000000 -55555555 -00000000 -55555555 -00000000 -55555555 -00000000 -55555555 -00000000 -00000001 -00000000 -00000001 -00000000 -55555555 -00000000 -00000001 -00000000 -22222223 -00000000 -55555555 -00000000 -55555555 -00000000 -55555555 -00000000 -55555555 -00000000 -55555555 -00000000 -00000001 -00000000 -22222221 -00000000 -55555555 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -00000000 -44444444 -00000000 -aaaaaaaa -ffffffff -aaaaaaaa -ffffffff -aaaaaaaa -ffffffff -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -00000002 -00000000 -11111114 -00000000 -44444445 -00000000 -aaaaaaaa -ffffffff -aaaaaaaa -ffffffff -55555554 -00000000 -aaaaaaaa -ffffffff -00000002 -00000000 -1111110e -00000000 -44444443 -00000000 -aaaaaaaa -ffffffff -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -33333333 -00000000 -33333333 -00000000 -00000004 -00000000 -00000000 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -00000001 -00000000 -33333333 -00000000 -33333333 -00000000 -00000003 -00000000 -00000001 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -00000003 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -11111111 -00000000 -66666666 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -66666666 -00000000 -66666666 -00000000 -66666666 -00000000 -00000000 -00000000 -11111112 -00000000 -66666666 -00000000 -00000002 -00000000 -00000002 -00000000 -00000001 -00000000 -66666666 -00000000 -66666666 -00000000 -11111110 -00000000 -66666666 -00000000 -00000000 -00000000 -33333332 -00000000 -66666666 -00000000 -66666666 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -0a5a4889 -00000000 -0a5a4889 -00000000 -00000004 -00000000 -1b6b599a -00000000 -4e9e8ccd -00000000 -00000000 -00000000 -b504f333 -ffffffff -b504f333 -ffffffff -00000001 -00000000 -0a5a488b -00000000 -0a5a488a -00000000 -00000003 -00000000 -1b6b599d -00000000 -4e9e8cce -00000000 -00000001 -00000000 -b504f333 -ffffffff -0a5a4887 -00000000 -0a5a4888 -00000000 -00000005 -00000000 -1b6b5997 -00000000 -4e9e8ccc -00000000 -b504f333 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -00000000 -55555554 -00000000 -00000004 -00000000 -22222221 -00000000 -55555554 -00000000 -55555554 -00000000 -55555554 -00000000 -55555554 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -00000000 -00000000 -00000000 -22222222 -00000000 -55555554 -00000000 -55555554 -00000000 -55555554 -00000000 -55555554 -00000000 -55555554 -00000000 -00000000 -00000000 -22222220 -00000000 -55555554 -00000000 -55555554 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -55555554 -00000000 -aaaaaaa9 -ffffffff -00000004 -00000000 -11111110 -00000000 -44444443 -00000000 -aaaaaaa9 -ffffffff -aaaaaaa9 -ffffffff -aaaaaaa9 -ffffffff -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -11111113 -00000000 -44444444 -00000000 -aaaaaaa9 -ffffffff -aaaaaaa9 -ffffffff -55555553 -00000000 -aaaaaaa9 -ffffffff -00000001 -00000000 -1111110d -00000000 -44444442 -00000000 -aaaaaaa9 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -33333332 -00000000 -33333332 -00000000 -00000003 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -00000000 -00000000 -33333332 -00000000 -33333332 -00000000 -00000002 -00000000 -00000000 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -00000002 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -11111110 -00000000 -66666665 -00000000 -00000002 -00000000 -33333332 -00000000 -66666665 -00000000 -66666665 -00000000 -66666665 -00000000 -66666665 -00000000 -00000001 -00000000 -11111111 -00000000 -66666665 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -66666665 -00000000 -66666665 -00000000 -1111110f -00000000 -66666665 -00000000 -00000005 -00000000 -33333331 -00000000 -66666665 -00000000 -66666665 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -0a5a4888 -00000000 -0a5a4888 -00000000 -00000003 -00000000 -1b6b5999 -00000000 -4e9e8ccc -00000000 -b504f332 -ffffffff -b504f332 -ffffffff -b504f332 -ffffffff -00000000 -00000000 -0a5a488a -00000000 -0a5a4889 -00000000 -00000002 -00000000 -1b6b599c -00000000 -4e9e8ccd -00000000 -00000000 -00000000 -b504f332 -ffffffff -0a5a4886 -00000000 -0a5a4887 -00000000 -00000004 -00000000 -1b6b5996 -00000000 -4e9e8ccb -00000000 -b504f332 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -00000000 -00000000 -33333333 -00000000 -4afb0ccc -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000001 -00000000 -00000003 -00000000 -55555556 -00000000 -00000003 -00000000 -00000005 -00000000 -33333335 -00000000 -4afb0ccd -00000000 -00000000 -00000000 -55555553 -00000000 -55555554 -00000000 -00000003 -00000000 -3333332f -00000000 -33333331 -00000000 -4afb0ccb -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000001 -00000000 -55555556 -00000000 -00000001 -00000000 -22222223 -00000000 -55555556 -00000000 -55555556 -00000000 -55555556 -00000000 -55555556 -00000000 -00000000 -00000000 -00000002 -00000000 -55555556 -00000000 -00000002 -00000000 -22222224 -00000000 -55555556 -00000000 -55555556 -00000000 -55555556 -00000000 -00000000 -00000000 -55555556 -00000000 -00000002 -00000000 -22222222 -00000000 -55555556 -00000000 -55555556 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -11111112 -00000000 -44444445 -00000000 -aaaaaaab -ffffffff -aaaaaaab -ffffffff -aaaaaaab -ffffffff -00000001 -00000000 -00000003 -00000000 -00000002 -00000000 -00000003 -00000000 -11111115 -00000000 -44444446 -00000000 -aaaaaaab -ffffffff -aaaaaaab -ffffffff -55555555 -00000000 -00000000 -00000000 -00000003 -00000000 -1111110f -00000000 -44444444 -00000000 -aaaaaaab -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000001 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000002 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -00000001 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -00000002 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -00000004 -00000000 -00000000 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -11111112 -00000000 -66666667 -00000000 -00000004 -00000000 -00000001 -00000000 -00000001 -00000000 -66666667 -00000000 -66666667 -00000000 -66666667 -00000000 -00000001 -00000000 -11111113 -00000000 -66666667 -00000000 -00000003 -00000000 -00000003 -00000000 -00000002 -00000000 -66666667 -00000000 -66666667 -00000000 -11111111 -00000000 -66666667 -00000000 -00000001 -00000000 -33333333 -00000000 -00000000 -00000000 -66666667 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0a5a488a -00000000 -0a5a488a -00000000 -00000000 -00000000 -1b6b599b -00000000 -4e9e8cce -00000000 -00000001 -00000000 -b504f334 -ffffffff -b504f334 -ffffffff -00000000 -00000000 -0a5a488c -00000000 -0a5a488b -00000000 -00000000 -00000000 -1b6b599e -00000000 -4e9e8ccf -00000000 -00000002 -00000000 -b504f334 -ffffffff -0a5a4888 -00000000 -0a5a4889 -00000000 -00000000 -00000000 -1b6b5998 -00000000 -4e9e8ccd -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000006 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000055 -00000000 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remw-01.reference_output deleted file mode 100644 index a7eadfb99..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/M/references/remw-01.reference_output +++ /dev/null @@ -1,1352 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000000 -00000000 -ffffefff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -fffffff7 -ffffffff -00000000 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffeff -ffffffff -00000004 -00000000 -ffffffff -ffffffff -ffffff7f -ffffffff -dfffffff -ffffffff -00000005 -00000000 -00000002 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00155555 -00000000 -fffffff7 -ffffffff -fffdffff -ffffffff -fff7ffff -ffffffff -fffffbff -ffffffff -00000000 -00000000 -00001000 -00000000 -00000000 -00000000 -0afb0cce -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -fffbffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -aaaaaaaa -ffffffff -00000006 -00000000 -00000100 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000400 -00000000 -ffffffff -ffffffff -aaaaaaaa -ffffffff -4afb0ccd -00000000 -00000009 -00000000 -00000000 -00000000 -fffffffa -ffffffff -00000003 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000003 -00000000 -ffffffff -ffffffff -b504f334 -ffffffff -ffffefff -ffffffff -fffff7ff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000002 -00000000 -ffffffff -ffffffff -fffffff7 -ffffffff -00000000 -00000000 -00000005 -00000000 -00000007 -00000000 -ffffffff -ffffffff -000001fd -00000000 -00000000 -00000000 -fffffffb -ffffffff -fffffffc -ffffffff -00000000 -00000000 -00000006 -00000000 -00000000 -00000000 -ffff01fe -ffffffff -fffe000e -ffffffff -0003f001 -00000000 -fff80fff -ffffffff -fffffff9 -ffffffff -00000000 -00000000 -00000080 -00000000 -ffffffff -ffffffff -00000000 -00000000 -fe00001e -ffffffff -02fb0cbc -00000000 -02000000 -00000000 -faaaaaaf -ffffffff -ffffffff -ffffffff -00000001 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -00000004 -00000000 -00000010 -00000000 -00000002 -00000000 -00001fff -00000000 -00008000 -00000000 -00010000 -00000000 -00020000 -00000000 -00100000 -00000000 -00200000 -00000000 -00400000 -00000000 -00800000 -00000000 -00000004 -00000000 -04000000 -00000000 -08000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -fffffffe -ffffffff -fffffffb -ffffffff -00000000 -00000000 -ffffffdf -ffffffff -ffffffbf -ffffffff -fffffdff -ffffffff -ffffffff -ffffffff -fffffffc -ffffffff -fffeffff -ffffffff -ffefffff -ffffffff -ffbfffff -ffffffff -ffffffff -ffffffff -ff000002 -ffffffff -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000001 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000003 -00000000 -00000001 -00000000 -00000000 -00000000 -55555555 -00000000 -00000000 -00000000 -22222222 -00000000 -55555555 -00000000 -0a5a4888 -00000000 -0a5a4888 -00000000 -00000001 -00000000 -00000001 -00000000 -55555555 -00000000 -00000001 -00000000 -22222223 -00000000 -55555555 -00000000 -0a5a4887 -00000000 -55555555 -00000000 -00000000 -00000000 -00000001 -00000000 -22222221 -00000000 -55555555 -00000000 -0a5a4887 -00000000 -0a5a4889 -00000000 -fffffffe -ffffffff -ffffffff -ffffffff -00000000 -00000000 -ffffffff -ffffffff -dddddddd -ffffffff -aaaaaaaa -ffffffff -f5a5b777 -ffffffff -f5a5b777 -ffffffff -00000000 -00000000 -fffffffe -ffffffff -aaaaaaaa -ffffffff -fffffffe -ffffffff -dddddddc -ffffffff -aaaaaaaa -ffffffff -f5a5b778 -ffffffff -00000000 -00000000 -ffffffff -ffffffff -fffffffe -ffffffff -ddddddde -ffffffff -aaaaaaaa -ffffffff -f5a5b778 -ffffffff -f5a5b776 -ffffffff -00000002 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000001 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000005 -00000000 -00000000 -00000000 -33333333 -00000000 -33333333 -00000000 -00000004 -00000000 -00000000 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -00000001 -00000000 -33333333 -00000000 -33333333 -00000000 -00000003 -00000000 -00000001 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -00000003 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -33333333 -00000000 -00000000 -00000000 -11111111 -00000000 -11111110 -00000000 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -1b6b5999 -00000000 -1b6b5999 -00000000 -00000000 -00000000 -11111112 -00000000 -66666666 -00000000 -00000002 -00000000 -00000002 -00000000 -00000001 -00000000 -1b6b5998 -00000000 -11111110 -00000000 -11111111 -00000000 -00000000 -00000000 -33333332 -00000000 -66666666 -00000000 -1b6b5998 -00000000 -1b6b599a -00000000 -00000002 -00000000 -4afb0ccd -00000000 -4afb0ccd -00000000 -00000002 -00000000 -17c7d99a -00000000 -4afb0ccd -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -4afb0ccd -00000000 -4afb0ccd -00000000 -00000001 -00000000 -17c7d99b -00000000 -4afb0ccd -00000000 -4afb0ccd -00000000 -4afb0ccd -00000000 -4afb0ccd -00000000 -00000005 -00000000 -17c7d999 -00000000 -4afb0ccd -00000000 -4afb0ccd -00000000 -00000001 -00000000 -fffffffe -ffffffff -b504f333 -ffffffff -b504f333 -ffffffff -fffffffe -ffffffff -e8382666 -ffffffff -b504f333 -ffffffff -00000000 -00000000 -00000000 -00000000 -ffffffff -ffffffff -b504f333 -ffffffff -b504f333 -ffffffff -ffffffff -ffffffff -e8382665 -ffffffff -b504f333 -ffffffff -b504f333 -ffffffff -b504f333 -ffffffff -b504f333 -ffffffff -fffffffb -ffffffff -e8382667 -ffffffff -b504f333 -ffffffff -b504f333 -ffffffff -ffffffff -ffffffff -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000000 -55555554 -00000000 -55555554 -00000000 -00000004 -00000000 -22222221 -00000000 -55555554 -00000000 -0a5a4887 -00000000 -0a5a4887 -00000000 -00000000 -00000000 -00000000 -00000000 -55555554 -00000000 -00000000 -00000000 -22222222 -00000000 -55555554 -00000000 -0a5a4886 -00000000 -55555554 -00000000 -55555554 -00000000 -00000000 -00000000 -22222220 -00000000 -55555554 -00000000 -0a5a4886 -00000000 -0a5a4888 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000002 -00000000 -33333332 -00000000 -33333332 -00000000 -00000003 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -00000000 -00000000 -33333332 -00000000 -33333332 -00000000 -00000002 -00000000 -00000000 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -00000002 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -33333332 -00000000 -00000002 -00000000 -11111110 -00000000 -1111110f -00000000 -00000002 -00000000 -33333332 -00000000 -66666665 -00000000 -1b6b5998 -00000000 -1b6b5998 -00000000 -00000001 -00000000 -11111111 -00000000 -66666665 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -1b6b5997 -00000000 -1111110f -00000000 -11111110 -00000000 -00000005 -00000000 -33333331 -00000000 -66666665 -00000000 -1b6b5997 -00000000 -1b6b5999 -00000000 -00000000 -00000000 -b504f332 -ffffffff -b504f332 -ffffffff -fffffffd -ffffffff -e8382665 -ffffffff -b504f332 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -00000000 -b504f332 -ffffffff -b504f332 -ffffffff -fffffffe -ffffffff -e8382664 -ffffffff -b504f332 -ffffffff -00000000 -00000000 -b504f332 -ffffffff -b504f332 -ffffffff -00000000 -00000000 -e8382666 -ffffffff -b504f332 -ffffffff -00000000 -00000000 -fffffffe -ffffffff -00000002 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -22222223 -00000000 -55555556 -00000000 -0a5a4889 -00000000 -0a5a4889 -00000000 -00000000 -00000000 -00000002 -00000000 -55555556 -00000000 -00000002 -00000000 -22222224 -00000000 -55555556 -00000000 -0a5a4888 -00000000 -00000000 -00000000 -00000001 -00000000 -00000002 -00000000 -22222222 -00000000 -55555556 -00000000 -0a5a4888 -00000000 -0a5a488a -00000000 -ffffffff -ffffffff -00000000 -00000000 -aaaaaaab -ffffffff -00000000 -00000000 -ddddddde -ffffffff -aaaaaaab -ffffffff -f5a5b778 -ffffffff -f5a5b778 -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -aaaaaaab -ffffffff -ffffffff -ffffffff -dddddddd -ffffffff -aaaaaaab -ffffffff -f5a5b779 -ffffffff -aaaaaaab -ffffffff -00000000 -00000000 -ffffffff -ffffffff -00000000 -00000000 -00000000 -00000000 -dddddddf -ffffffff -aaaaaaab -ffffffff -f5a5b779 -ffffffff -f5a5b777 -ffffffff -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000001 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000002 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000000 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000006 -00000000 -00000001 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -00000001 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -33333334 -00000000 -33333334 -00000000 -00000000 -00000000 -00000002 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -00000004 -00000000 -00000000 -00000000 -33333334 -00000000 -33333334 -00000000 -33333334 -00000000 -00000001 -00000000 -11111112 -00000000 -11111111 -00000000 -00000004 -00000000 -00000001 -00000000 -00000001 -00000000 -1b6b599a -00000000 -1b6b599a -00000000 -00000001 -00000000 -11111113 -00000000 -66666667 -00000000 -00000003 -00000000 -00000003 -00000000 -00000002 -00000000 -1b6b5999 -00000000 -11111111 -00000000 -11111112 -00000000 -00000001 -00000000 -33333333 -00000000 -00000000 -00000000 -1b6b5999 -00000000 -1b6b599b -00000000 -00000000 -00000000 -4afb0cce -00000000 -4afb0cce -00000000 -00000003 -00000000 -17c7d99b -00000000 -4afb0cce -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -4afb0cce -00000000 -4afb0cce -00000000 -17c7d99c -00000000 -4afb0cce -00000000 -00000000 -00000000 -4afb0cce -00000000 -4afb0cce -00000000 -00000000 -00000000 -17c7d99a -00000000 -4afb0cce -00000000 -00000000 -00000000 -00000002 -00000000 -ffffffff -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -ffffffff -ffffffff -e8382667 -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -00000000 -00000000 -b504f334 -ffffffff -b504f334 -ffffffff -00000000 -00000000 -e8382666 -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -fffffffc -ffffffff -e8382668 -ffffffff -b504f334 -ffffffff -b504f334 -ffffffff -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000008 -00000000 -ffffffff -ffffffff -00000001 -00000000 -ffffffff -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/references/Fencei.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/references/Fencei.reference_output deleted file mode 100644 index 1a31ff373..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/references/Fencei.reference_output +++ /dev/null @@ -1,4 +0,0 @@ -00000030 -00000012 -00000042 -001101b3 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ebreak.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ebreak.reference_output deleted file mode 100644 index 91fef5137..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ebreak.reference_output +++ /dev/null @@ -1,8 +0,0 @@ -00000000 -11111111 -0000010f -00000000 -00000003 -00000000 -000003a0 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ecall.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ecall.reference_output deleted file mode 100644 index 12e14f72b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/ecall.reference_output +++ /dev/null @@ -1,8 +0,0 @@ -00000000 -11111111 -0000010f -00000000 -0000000b -00000000 -000003a0 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-beq-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-beq-01.reference_output deleted file mode 100644 index 091dcebed..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-beq-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000001 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bge-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bge-01.reference_output deleted file mode 100644 index 25fb03a8c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bge-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000003 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bgeu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bgeu-01.reference_output deleted file mode 100644 index 25fb03a8c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bgeu-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000003 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-blt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-blt-01.reference_output deleted file mode 100644 index 091dcebed..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-blt-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000001 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bltu-01.reference_output deleted file mode 100644 index 25fb03a8c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bltu-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000003 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bne-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bne-01.reference_output deleted file mode 100644 index 091dcebed..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-bne-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000001 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-jal-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-jal-01.reference_output deleted file mode 100644 index c48a73dfe..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-jal-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000029 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-ld-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-ld-01.reference_output deleted file mode 100644 index c0ec8248b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-ld-01.reference_output +++ /dev/null @@ -1,144 +0,0 @@ -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -0000010f -00000000 -00000004 -00000000 -000003a0 -00000000 -ffffffb9 -ffffffff -0000010f -00000000 -00000004 -00000000 -000003b8 -00000000 -ffffffba -ffffffff -0000010f -00000000 -00000004 -00000000 -000003d0 -00000000 -ffffffbb -ffffffff -0000010f -00000000 -00000004 -00000000 -000003e8 -00000000 -ffffffbc -ffffffff -0000010f -00000000 -00000004 -00000000 -00000400 -00000000 -ffffffbd -ffffffff -0000010f -00000000 -00000004 -00000000 -00000418 -00000000 -ffffffbe -ffffffff -0000010f -00000000 -00000004 -00000000 -00000430 -00000000 -ffffffbf -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lh-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lh-01.reference_output deleted file mode 100644 index 156ac16e0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lh-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -ab7fbb6f -ab7fbb6f -0000010f -00000000 -00000004 -00000000 -000003a0 -00000000 -ffffffe9 -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lhu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lhu-01.reference_output deleted file mode 100644 index 156ac16e0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lhu-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -ab7fbb6f -ab7fbb6f -0000010f -00000000 -00000004 -00000000 -000003a0 -00000000 -ffffffe9 -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lw-01.reference_output deleted file mode 100644 index bd374bf5f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lw-01.reference_output +++ /dev/null @@ -1,136 +0,0 @@ -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -0000010f -00000000 -00000004 -00000000 -000003a0 -00000000 -ffffffd9 -ffffffff -0000010f -00000000 -00000004 -00000000 -000003b8 -00000000 -ffffffda -ffffffff -0000010f -00000000 -00000004 -00000000 -000003d0 -00000000 -ffffffdb -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lwu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lwu-01.reference_output deleted file mode 100644 index bd374bf5f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-lwu-01.reference_output +++ /dev/null @@ -1,136 +0,0 @@ -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -ab7fbb6f -0000010f -00000000 -00000004 -00000000 -000003a0 -00000000 -ffffffd9 -ffffffff -0000010f -00000000 -00000004 -00000000 -000003b8 -00000000 -ffffffda -ffffffff -0000010f -00000000 -00000004 -00000000 -000003d0 -00000000 -ffffffdb -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sd-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sd-01.reference_output deleted file mode 100644 index 9c8548129..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sd-01.reference_output +++ /dev/null @@ -1,144 +0,0 @@ -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -0000010f -00000000 -00000006 -00000000 -000003b0 -00000000 -ffffffc9 -ffffffff -0000010f -00000000 -00000006 -00000000 -000003d0 -00000000 -ffffffd2 -ffffffff -0000010f -00000000 -00000006 -00000000 -000003f0 -00000000 -ffffffdb -ffffffff -0000010f -00000000 -00000006 -00000000 -00000428 -00000000 -ffffffe4 -ffffffff -0000010f -00000000 -00000006 -00000000 -00000444 -00000000 -ffffffed -ffffffff -0000010f -00000000 -00000006 -00000000 -00000460 -00000000 -fffffff6 -ffffffff -0000010f -00000000 -00000006 -00000000 -0000047c -00000000 -ffffffff -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sh-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sh-01.reference_output deleted file mode 100644 index bc44c9d33..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sh-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -deadbeef -deadbeef -0000010f -00000000 -00000006 -00000000 -000003a8 -00000000 -fffffff9 -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sw-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sw-01.reference_output deleted file mode 100644 index 6e075a3e0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign-sw-01.reference_output +++ /dev/null @@ -1,136 +0,0 @@ -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -0000010f -00000000 -00000006 -00000000 -000003ac -00000000 -ffffffe9 -ffffffff -0000010f -00000000 -00000006 -00000000 -000003cc -00000000 -fffffff2 -ffffffff -0000010f -00000000 -00000006 -00000000 -000003e8 -00000000 -fffffffb -ffffffff -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign1-jalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign1-jalr-01.reference_output deleted file mode 100644 index e30551993..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign1-jalr-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000017 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign2-jalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign2-jalr-01.reference_output deleted file mode 100644 index e30551993..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/misalign2-jalr-01.reference_output +++ /dev/null @@ -1,132 +0,0 @@ -00000017 -00000000 -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 diff --git a/wally-pipelined/testbench/tests.vh b/wally-pipelined/testbench/tests.vh index a82e22830..d109b6dc2 100644 --- a/wally-pipelined/testbench/tests.vh +++ b/wally-pipelined/testbench/tests.vh @@ -668,6 +668,159 @@ string imperas32f[] = '{ "rv32i_m/M/remu-01", "5010" }; + string arch32m[] = '{ + `RISCVARCHTEST, + "rv32i_m/M/div-01", "5010", + "fadd_b1-01", "", + "fadd_b10-01", "", + "fadd_b11-01", "", + "fadd_b12-01", "", + "fadd_b13-01", "", + "fadd_b2-01", "", + "fadd_b3-01", "", + "fadd_b4-01", "", + "fadd_b5-01", "", + "fadd_b7-01", "", + "fadd_b8-01", "", + "fclass_b1-01", "", + "fcvt.s.w_b25-01", "", + "fcvt.s.w_b26-01", "", + "fcvt.s.wu_b25-01", "", + "fcvt.s.wu_b26-01", "", + "fcvt.w.s_b1-01", "", + "fcvt.w.s_b22-01", "", + "fcvt.w.s_b23-01", "", + "fcvt.w.s_b24-01", "", + "fcvt.w.s_b27-01", "", + "fcvt.w.s_b28-01", "", + "fcvt.w.s_b29-01", "", + "fcvt.wu.s_b1-01", "", + "fcvt.wu.s_b22-01", "", + "fcvt.wu.s_b23-01", "", + "fcvt.wu.s_b24-01", "", + "fcvt.wu.s_b27-01", "", + "fcvt.wu.s_b28-01", "", + "fcvt.wu.s_b29-01", "", + "fdiv_b1-01", "", + "fdiv_b2-01", "", + "fdiv_b20-01", "", + "fdiv_b21-01", "", + "fdiv_b3-01", "", + "fdiv_b4-01", "", + "fdiv_b5-01", "", + "fdiv_b6-01", "", + "fdiv_b7-01", "", + "fdiv_b8-01", "", + "fdiv_b9-01", "", + "feq_b1-01", "", + "feq_b19-01", "", + "fle_b1-01", "", + "fle_b19-01", "", + "flt_b1-01", "", + "flt_b19-01", "", + "flw-align-01", "", + "fmadd_b1-01", "", + "fmadd_b14-01", "", + "fmadd_b15-01", "", + "fmadd_b16-01", "", + "fmadd_b17-01", "", + "fmadd_b18-01", "", + "fmadd_b2-01", "", + "fmadd_b3-01", "", + "fmadd_b4-01", "", + "fmadd_b5-01", "", + "fmadd_b6-01", "", + "fmadd_b7-01", "", + "fmadd_b8-01", "", + "fmax_b1-01", "", + "fmax_b19-01", "", + "fmin_b1-01", "", + "fmin_b19-01", "", + "fmsub_b1-01", "", + "fmsub_b14-01", "", + "fmsub_b15-01", "", + "fmsub_b16-01", "", + "fmsub_b17-01", "", + "fmsub_b18-01", "", + "fmsub_b2-01", "", + "fmsub_b3-01", "", + "fmsub_b4-01", "", + "fmsub_b5-01", "", + "fmsub_b6-01", "", + "fmsub_b7-01", "", + "fmsub_b8-01", "", + "fmul_b1-01", "", + "fmul_b2-01", "", + "fmul_b3-01", "", + "fmul_b4-01", "", + "fmul_b5-01", "", + "fmul_b6-01", "", + "fmul_b7-01", "", + "fmul_b8-01", "", + "fmul_b9-01", "", + "fmv.w.x_b25-01", "", + "fmv.w.x_b26-01", "", + "fmv.x.w_b1-01", "", + "fmv.x.w_b22-01", "", + "fmv.x.w_b23-01", "", + "fmv.x.w_b24-01", "", + "fmv.x.w_b27-01", "", + "fmv.x.w_b28-01", "", + "fmv.x.w_b29-01", "", + "fnmadd_b1-01", "", + "fnmadd_b14-01", "", + "fnmadd_b15-01", "", + "fnmadd_b16-01", "", + "fnmadd_b17-01", "", + "fnmadd_b18-01", "", + "fnmadd_b2-01", "", + "fnmadd_b3-01", "", + "fnmadd_b4-01", "", + "fnmadd_b5-01", "", + "fnmadd_b6-01", "", + "fnmadd_b7-01", "", + "fnmadd_b8-01", "", + "fnmsub_b1-01", "", + "fnmsub_b14-01", "", + "fnmsub_b15-01", "", + "fnmsub_b16-01", "", + "fnmsub_b17-01", "", + "fnmsub_b18-01", "", + "fnmsub_b2-01", "", + "fnmsub_b3-01", "", + "fnmsub_b4-01", "", + "fnmsub_b5-01", "", + "fnmsub_b6-01", "", + "fnmsub_b7-01", "", + "fnmsub_b8-01", "", + "fsgnj_b1-01", "", + "fsgnjn_b1-01", "", + "fsgnjx_b1-01", "", + "fsqrt_b1-01", "", + "fsqrt_b2-01", "", + "fsqrt_b20-01", "", + "fsqrt_b3-01", "", + "fsqrt_b4-01", "", + "fsqrt_b5-01", "", + "fsqrt_b7-01", "", + "fsqrt_b8-01", "", + "fsqrt_b9-01", "", + "fsub_b1-01", "", + "fsub_b10-01", "", + "fsub_b11-01", "", + "fsub_b12-01", "", + "fsub_b13-01", "", + "fsub_b2-01", "", + "fsub_b3-01", "", + "fsub_b4-01", "", + "fsub_b5-01", "", + "fsub_b7-01", "", + "fsub_b8-01", "", + "fsw-align-01, "" +}; + + + string arch32c[] = '{ `RISCVARCHTEST, "rv32i_m/C/cadd-01", "4010", From 7d516c65e7090e95ae972a74408436d29c3c63a7 Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 26 Oct 2021 08:56:49 -0700 Subject: [PATCH 8/9] commented out nonworking tests --- wally-pipelined/testbench/tests.vh | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/wally-pipelined/testbench/tests.vh b/wally-pipelined/testbench/tests.vh index d109b6dc2..5a8878f36 100644 --- a/wally-pipelined/testbench/tests.vh +++ b/wally-pipelined/testbench/tests.vh @@ -668,11 +668,12 @@ string imperas32f[] = '{ "rv32i_m/M/remu-01", "5010" }; - string arch32m[] = '{ +/* + string arch32f[] = '{ `RISCVARCHTEST, "rv32i_m/M/div-01", "5010", - "fadd_b1-01", "", - "fadd_b10-01", "", + "fadd_b1-01", "", + "fadd_b10-01", "", "fadd_b11-01", "", "fadd_b12-01", "", "fadd_b13-01", "", @@ -818,8 +819,7 @@ string imperas32f[] = '{ "fsub_b8-01", "", "fsw-align-01, "" }; - - +*/ string arch32c[] = '{ `RISCVARCHTEST, From f793dd7a5ecf360ef3ef71f37d9e9a073bbd62ed Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 26 Oct 2021 09:02:22 -0700 Subject: [PATCH 9/9] removed unused signal from wave.do --- wally-pipelined/regression/wave-dos/peripheral-waves.do | 1 - 1 file changed, 1 deletion(-) diff --git a/wally-pipelined/regression/wave-dos/peripheral-waves.do b/wally-pipelined/regression/wave-dos/peripheral-waves.do index 594d572ad..6eec4995d 100644 --- a/wally-pipelined/regression/wave-dos/peripheral-waves.do +++ b/wally-pipelined/regression/wave-dos/peripheral-waves.do @@ -50,7 +50,6 @@ add wave -hex /testbench/dut/hart/WriteDataM add wave -hex /testbench/dut/hart/lsu/dcache/MemPAdrM add wave -hex /testbench/dut/hart/lsu/dcache/WriteDataM add wave -hex /testbench/dut/hart/lsu/dcache/ReadDataM -add wave -hex /testbench/dut/hart/ebu/ReadDataM add wave -divider add wave -hex /testbench/PCW #add wave -hex /testbench/InstrW