diff --git a/pipelined/src/ppa/ppa.sv b/pipelined/src/ppa/ppa.sv index 31aa13523..ec10da6f1 100644 --- a/pipelined/src/ppa/ppa.sv +++ b/pipelined/src/ppa/ppa.sv @@ -525,7 +525,7 @@ module ppa_decoder #(parameter WIDTH = 8) ( end endmodule -module ppa_mux2_1 #(parameter WIDTH = 1) ( +module ppa_mux2d_1 #(parameter WIDTH = 1) ( input logic [WIDTH-1:0] d0, d1, input logic s, output logic [WIDTH-1:0] y); @@ -533,7 +533,7 @@ module ppa_mux2_1 #(parameter WIDTH = 1) ( assign y = s ? d1 : d0; endmodule -module ppa_mux4_1 #(parameter WIDTH = 1) ( +module ppa_mux4d_1 #(parameter WIDTH = 1) ( input logic [WIDTH-1:0] d0, d1, d2, d3, input logic [1:0] s, output logic [WIDTH-1:0] y); @@ -541,7 +541,7 @@ module ppa_mux4_1 #(parameter WIDTH = 1) ( assign y = s[1] ? (s[0] ? d3 : d2) : (s[0] ? d1 : d0); endmodule -module ppa_mux8_1 #(parameter WIDTH = 1) ( +module ppa_mux8d_1 #(parameter WIDTH = 1) ( input logic [WIDTH-1:0] d0, d1, d2, d3, d4, d5, d6, d7, input logic [2:0] s, output logic [WIDTH-1:0] y); diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index 178820933..656286d94 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -74,7 +74,7 @@ if { $saifpower == 1 } { if {$drive != "INV"} { set_false_path -from [get_ports reset] } -if {(($::env(DESIGN) == "ppa_mux2_1") || ($::env(DESIGN) == "ppa_mux4_1") || ($::env(DESIGN) == "ppa_mux8_1"))} { +if {(($::env(DESIGN) == "ppa_mux2d_1") || ($::env(DESIGN) == "ppa_mux4d_1") || ($::env(DESIGN) == "ppa_mux8d_1"))} { set_false_path -from {s} }