diff --git a/fpga/constraints/constraints-ArtyA7.xdc b/fpga/constraints/constraints-ArtyA7.xdc index 30cba8cc5..8d8b991cd 100644 --- a/fpga/constraints/constraints-ArtyA7.xdc +++ b/fpga/constraints/constraints-ArtyA7.xdc @@ -18,8 +18,8 @@ set_property IOSTANDARD LVCMOS33 [get_ports {GPI[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {GPI[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {GPI[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {GPI[0]}] -set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -min -add_delay 2.000 [get_ports {GPI[*]}] -set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -max -add_delay 2.000 [get_ports {GPI[*]}] +set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -min -add_delay 0.000 [get_ports {GPI[*]}] +set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -max -add_delay 0.000 [get_ports {GPI[*]}] set_max_delay -from [get_ports {GPI[*]}] 10.000 ##### GPO #### @@ -47,8 +47,8 @@ set_max_delay -to [get_ports UARTSout] 14.000 set_property IOSTANDARD LVCMOS33 [get_ports UARTSin] set_property IOSTANDARD LVCMOS33 [get_ports UARTSout] set_property DRIVE 4 [get_ports UARTSout] -set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -min -add_delay 2.000 [get_ports UARTSin] -set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -max -add_delay 2.000 [get_ports UARTSin] +set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -min -add_delay 0.000 [get_ports UARTSin] +set_input_delay -clock [get_clocks clk_out3_xlnx_mmcm] -max -add_delay 0.000 [get_ports UARTSin] set_output_delay -clock [get_clocks clk_out3_xlnx_mmcm] -min -add_delay 0.000 [get_ports UARTSout] set_output_delay -clock [get_clocks clk_out3_xlnx_mmcm] -max -add_delay 0.000 [get_ports UARTSout] diff --git a/fpga/generator/xlnx_ddr3-artya7-mig.prj b/fpga/generator/xlnx_ddr3-artya7-mig.prj index 32905a5b4..ab7a4252d 100644 --- a/fpga/generator/xlnx_ddr3-artya7-mig.prj +++ b/fpga/generator/xlnx_ddr3-artya7-mig.prj @@ -42,7 +42,7 @@ - DDR3_SDRAM/Components/MT41J128M16XX-125 + DDR3_SDRAM/Components/MT41K128M16XX-15E 3000 1.8V 4:1 @@ -114,7 +114,7 @@ - + @@ -126,7 +126,7 @@ - + 8 - Fixed Sequential @@ -135,10 +135,10 @@ No Slow Exit Enable - RZQ/7 + RZQ/6 Disable Enable - RZQ/4 + RZQ/6 0 Disabled Enabled diff --git a/fpga/src/fpgaTopArtyA7.v b/fpga/src/fpgaTopArtyA7.v index c682bca88..8422906ed 100644 --- a/fpga/src/fpgaTopArtyA7.v +++ b/fpga/src/fpgaTopArtyA7.v @@ -426,12 +426,12 @@ module fpgaTop .mmcm_locked(mmcm_locked), // *** What are these? - .app_sr_req(1'b0), - .app_ref_req(1'b0), - .app_zq_req(1'b0), - .app_sr_active(app_sr_active), - .app_ref_ack(app_ref_ack), - .app_zq_ack(app_zq_ack), + .app_sr_req(1'b0), // reserved command + .app_ref_req(1'b0), // refresh command + .app_zq_req(1'b0), // recalibrate command + .app_sr_active(app_sr_active), // reserved response + .app_ref_ack(app_ref_ack), // refresh ack + .app_zq_ack(app_zq_ack), // recalibrate ack // axi .s_axi_awid(BUS_axi_awid),