From 6ff8d1915791a24bcda041375a9c8256522df05f Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 12:28:19 -0600 Subject: [PATCH 1/8] Added code to the wallyTracer to support outputing an instruction trace. --- testbench/common/wallyTracer.sv | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) diff --git a/testbench/common/wallyTracer.sv b/testbench/common/wallyTracer.sv index 0fb8c4b77..de03705e8 100644 --- a/testbench/common/wallyTracer.sv +++ b/testbench/common/wallyTracer.sv @@ -23,6 +23,7 @@ `define NUM_REGS 32 `define NUM_CSRS 4096 +`define STD_LOG 1 `define PRINT_PC_INSTR 0 `define PRINT_MOST 0 `define PRINT_ALL 0 @@ -495,8 +496,38 @@ module wallyTracer import cvw::*; #(parameter cvw_t P) (rvviTrace rvvi); integer index2; + string instrWName; + int file; + string LogFile; + if(`STD_LOG) begin + instrNameDecTB NameDecoder(rvvi.insn[0][0], instrWName); + initial begin + LogFile = "InstrTrace.log"; + file = $fopen(LogFile, "w"); + end + end + always_ff @(posedge clk) begin if(rvvi.valid[0][0]) begin + if(`STD_LOG) begin + $fwrite(file, "%08x, $08x, %s ", rvvi.pc_rdata[0][0], rvvi.insn[0][0], instrWName); + for(index2 = 0; index2 < `NUM_REGS; index2 += 1) begin + if(rvvi.x_wb[0][0][index2]) begin + $fwrite(file, "rf[%d] = %08x ", index2, rvvi.x_wdata[0][0][index2]); + end + end + for(index2 = 0; index2 < `NUM_REGS; index2 += 1) begin + if(rvvi.f_wb[0][0][index2]) begin + $fwrite(file, "frf[%d] = %08x ", index2, rvvi.f_wdata[0][0][index2]); + end + end + for(index2 = 0; index2 < `NUM_CSRS; index2 += 1) begin + if(rvvi.csr_wb[0][0][index2]) begin + $fwrite(file, "csr[%d] = %08x ", index2, rvvi.csr[0][0][index2]); + end + end + $fwrite(file, "\n"); + end if(`PRINT_PC_INSTR & !(`PRINT_ALL | `PRINT_MOST)) $display("order = %08d, PC = %08x, insn = %08x", rvvi.order[0][0], rvvi.pc_rdata[0][0], rvvi.insn[0][0]); else if(`PRINT_MOST & !`PRINT_ALL) From 3fd6d3464cc5e675815dce3844d8c37967de2f18 Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 13:02:34 -0600 Subject: [PATCH 2/8] We are logging now. --- testbench/common/wallyTracer.sv | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/testbench/common/wallyTracer.sv b/testbench/common/wallyTracer.sv index de03705e8..76be40bca 100644 --- a/testbench/common/wallyTracer.sv +++ b/testbench/common/wallyTracer.sv @@ -510,20 +510,20 @@ module wallyTracer import cvw::*; #(parameter cvw_t P) (rvviTrace rvvi); always_ff @(posedge clk) begin if(rvvi.valid[0][0]) begin if(`STD_LOG) begin - $fwrite(file, "%08x, $08x, %s ", rvvi.pc_rdata[0][0], rvvi.insn[0][0], instrWName); + $fwrite(file, "%08x, %08x, %s ", rvvi.pc_rdata[0][0], rvvi.insn[0][0], instrWName); for(index2 = 0; index2 < `NUM_REGS; index2 += 1) begin if(rvvi.x_wb[0][0][index2]) begin - $fwrite(file, "rf[%d] = %08x ", index2, rvvi.x_wdata[0][0][index2]); + $fwrite(file, "rf[%02d] = %08x ", index2, rvvi.x_wdata[0][0][index2]); end end for(index2 = 0; index2 < `NUM_REGS; index2 += 1) begin if(rvvi.f_wb[0][0][index2]) begin - $fwrite(file, "frf[%d] = %08x ", index2, rvvi.f_wdata[0][0][index2]); + $fwrite(file, "frf[%02d] = %08x ", index2, rvvi.f_wdata[0][0][index2]); end end for(index2 = 0; index2 < `NUM_CSRS; index2 += 1) begin if(rvvi.csr_wb[0][0][index2]) begin - $fwrite(file, "csr[%d] = %08x ", index2, rvvi.csr[0][0][index2]); + $fwrite(file, "csr[%03x] = %08x ", index2, rvvi.csr[0][0][index2]); end end $fwrite(file, "\n"); From b02bd6c835dec6a893ad950202b0390905a61585 Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 13:45:55 -0600 Subject: [PATCH 3/8] Finally we got the wally tracer working with linux. --- config/buildroot/config.vh | 2 +- testbench/common/wallyTracer.sv | 8 ++++---- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/config/buildroot/config.vh b/config/buildroot/config.vh index 35be93fd6..cc168e75c 100644 --- a/config/buildroot/config.vh +++ b/config/buildroot/config.vh @@ -100,7 +100,7 @@ localparam logic [63:0] BOOTROM_RANGE = 64'h00000FFF; localparam BOOTROM_PRELOAD = 1'b1; localparam UNCORE_RAM_SUPPORTED = 1'b1; localparam logic [63:0] UNCORE_RAM_BASE = 64'h80000000; -localparam logic [63:0] UNCORE_RAM_RANGE = 64'h07FFFFFF; +localparam logic [63:0] UNCORE_RAM_RANGE = 64'h0FFFFFFF; localparam UNCORE_RAM_PRELOAD = 1'b1; localparam EXT_MEM_SUPPORTED = 1'b0; localparam logic [63:0] EXT_MEM_BASE = 64'h80000000; diff --git a/testbench/common/wallyTracer.sv b/testbench/common/wallyTracer.sv index 76be40bca..4433deb8e 100644 --- a/testbench/common/wallyTracer.sv +++ b/testbench/common/wallyTracer.sv @@ -510,20 +510,20 @@ module wallyTracer import cvw::*; #(parameter cvw_t P) (rvviTrace rvvi); always_ff @(posedge clk) begin if(rvvi.valid[0][0]) begin if(`STD_LOG) begin - $fwrite(file, "%08x, %08x, %s ", rvvi.pc_rdata[0][0], rvvi.insn[0][0], instrWName); + $fwrite(file, "%016x, %08x, %s\t\t", rvvi.pc_rdata[0][0], rvvi.insn[0][0], instrWName); for(index2 = 0; index2 < `NUM_REGS; index2 += 1) begin if(rvvi.x_wb[0][0][index2]) begin - $fwrite(file, "rf[%02d] = %08x ", index2, rvvi.x_wdata[0][0][index2]); + $fwrite(file, "rf[%02d] = %016x ", index2, rvvi.x_wdata[0][0][index2]); end end for(index2 = 0; index2 < `NUM_REGS; index2 += 1) begin if(rvvi.f_wb[0][0][index2]) begin - $fwrite(file, "frf[%02d] = %08x ", index2, rvvi.f_wdata[0][0][index2]); + $fwrite(file, "frf[%02d] = %016x ", index2, rvvi.f_wdata[0][0][index2]); end end for(index2 = 0; index2 < `NUM_CSRS; index2 += 1) begin if(rvvi.csr_wb[0][0][index2]) begin - $fwrite(file, "csr[%03x] = %08x ", index2, rvvi.csr[0][0][index2]); + $fwrite(file, "csr[%03x] = %016x ", index2, rvvi.csr[0][0][index2]); end end $fwrite(file, "\n"); From f82f7d9323b38699d4d64e8d40e29195c551a37f Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 13:46:37 -0600 Subject: [PATCH 4/8] Updated qemu scripts for updated linux build. expanded memory from 128MB to 256MB. --- linux/testvector-generation/genInitMem.sh | 9 +++++---- linux/testvector-generation/genRecording.sh | 3 ++- linux/testvector-generation/genTrace.sh | 3 ++- 3 files changed, 9 insertions(+), 6 deletions(-) diff --git a/linux/testvector-generation/genInitMem.sh b/linux/testvector-generation/genInitMem.sh index c78485958..46ce174ec 100755 --- a/linux/testvector-generation/genInitMem.sh +++ b/linux/testvector-generation/genInitMem.sh @@ -1,13 +1,14 @@ #!/bin/bash tcpPort=1235 -imageDir=/home/jpease/repos/buildroot3/output/images -tvDir=linux-testvectors +imageDir=$RISCV/buildroot/output/images +tvDir=$RISCV/linux-testvectors rawRamFile="$tvDir/ramGDB.bin" ramFile="$tvDir/ram.bin" rawBootmemFile="$tvDir/bootmemGDB.bin" bootmemFile="$tvDir/bootmem.bin" rawUntrimmedBootmemFile="$tvDir/untrimmedBootmemFileGDB.bin" untrimmedBootmemFile="$tvDir/untrimmedBootmemFile.bin" +DEVICE_TREE=../devicetree/wally-virt.dtb read -p "Warning: running this script will overwrite the contents of: * $rawRamFile @@ -36,7 +37,7 @@ then echo "Launching QEMU in replay mode!" (qemu-system-riscv64 \ - -M virt -dtb /home/jpease/repos/buildroot3/output/images/wally-artya7.dtb \ + -M virt -m 256M -dtb $DEVICE_TREE \ -nographic \ -bios $imageDir/fw_jump.elf -kernel $imageDir/Image -append "root=/dev/vda ro" -initrd $imageDir/rootfs.cpio \ -gdb tcp::$tcpPort -S) \ @@ -53,7 +54,7 @@ then -ex "printf \"Warning - please verify that the second half of $rawUntrimmedBootmemFile is all 0s\n\"" \ -ex "dump binary memory $rawUntrimmedBootmemFile 0x1000 0x2fff" \ -ex "printf \"Creating $rawRamFile\n\"" \ - -ex "dump binary memory $rawRamFile 0x80000000 0x87ffffff" \ + -ex "dump binary memory $rawRamFile 0x80000000 0x8fffffff" \ -ex "kill" \ -ex "q" diff --git a/linux/testvector-generation/genRecording.sh b/linux/testvector-generation/genRecording.sh index f9538e145..c55c270a5 100755 --- a/linux/testvector-generation/genRecording.sh +++ b/linux/testvector-generation/genRecording.sh @@ -2,6 +2,7 @@ imageDir=$RISCV/buildroot/output/images tvDir=$RISCV/linux-testvectors recordFile="$tvDir/all.qemu" +DEVICE_TREE=../devicetree/wally-virt.dtb read -p "Warning: running this script will overwrite $recordFile Would you like to proceed? (y/n) " -n 1 -r @@ -24,7 +25,7 @@ then echo "Launching QEMU in record mode!" qemu-system-riscv64 \ - -M virt -dtb $imageDir/wally-virt.dtb \ + -M virt -m 256M -dtb $DEVICE_TREE \ -nographic \ -bios $imageDir/fw_jump.elf -kernel $imageDir/Image -append "root=/dev/vda ro" -initrd $imageDir/rootfs.cpio \ -singlestep -rtc clock=vm -icount shift=0,align=off,sleep=on,rr=record,rrfile=$recordFile diff --git a/linux/testvector-generation/genTrace.sh b/linux/testvector-generation/genTrace.sh index 76a6a10c6..3f63d4df8 100755 --- a/linux/testvector-generation/genTrace.sh +++ b/linux/testvector-generation/genTrace.sh @@ -6,6 +6,7 @@ recordFile="$tvDir/all.qemu" traceFile="$tvDir/all.txt" trapsFile="$tvDir/traps.txt" interruptsFile="$tvDir/interrupts.txt" +DEVICE_TREE=../devicetree/wally-virt.dtb read -p "Warning: running this script will overwrite the contents of: * $traceFile @@ -36,7 +37,7 @@ then # QEMU Simulation echo "Launching QEMU in replay mode!" (qemu-system-riscv64 \ - -M virt -dtb $imageDir/wally-virt.dtb \ + -M virt -m 256M -dtb $DEVICE_TREE \ -nographic \ -bios $imageDir/fw_jump.elf -kernel $imageDir/Image -append "root=/dev/vda ro" -initrd $imageDir/rootfs.cpio \ -singlestep -rtc clock=vm -icount shift=0,align=off,sleep=on,rr=replay,rrfile=$recordFile \ From c77a47b403db0fffcf5cff665d37ee575c45953f Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 13:47:58 -0600 Subject: [PATCH 5/8] Output the instruction trace to the logs directory. --- testbench/common/wallyTracer.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/testbench/common/wallyTracer.sv b/testbench/common/wallyTracer.sv index 4433deb8e..c2fc38f17 100644 --- a/testbench/common/wallyTracer.sv +++ b/testbench/common/wallyTracer.sv @@ -502,7 +502,7 @@ module wallyTracer import cvw::*; #(parameter cvw_t P) (rvviTrace rvvi); if(`STD_LOG) begin instrNameDecTB NameDecoder(rvvi.insn[0][0], instrWName); initial begin - LogFile = "InstrTrace.log"; + LogFile = "logs/InstrTrace.log"; file = $fopen(LogFile, "w"); end end From 1dac4d221e32c2fd3734ef444ec7a795af95150b Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 13:49:07 -0600 Subject: [PATCH 6/8] Disable the trace for normal operation. --- testbench/common/wallyTracer.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/testbench/common/wallyTracer.sv b/testbench/common/wallyTracer.sv index c2fc38f17..1ba7f010d 100644 --- a/testbench/common/wallyTracer.sv +++ b/testbench/common/wallyTracer.sv @@ -23,7 +23,7 @@ `define NUM_REGS 32 `define NUM_CSRS 4096 -`define STD_LOG 1 +`define STD_LOG 0 `define PRINT_PC_INSTR 0 `define PRINT_MOST 0 `define PRINT_ALL 0 From e5b7301ffe8e9c4e2945f2e30809f947c42e8c7c Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 15:14:17 -0600 Subject: [PATCH 7/8] Updated imperad dv vendor id and architecture id config. --- sim/imperas.ic | 2 ++ 1 file changed, 2 insertions(+) diff --git a/sim/imperas.ic b/sim/imperas.ic index 6ebc7a5b4..4106968ed 100644 --- a/sim/imperas.ic +++ b/sim/imperas.ic @@ -8,6 +8,8 @@ --override cpu/user_version=20191213 # arch --override cpu/mimpid=0x100 +--override cpu/mvendorid=0x602 +--override cpu/marchid=0x24 --override refRoot/cpu/tvec_align=64 # bit manipulation From c08d5485ce0f3c7fa0bde8c8d9122c9c55c1bac1 Mon Sep 17 00:00:00 2001 From: Rose Thompson Date: Tue, 21 Nov 2023 16:01:42 -0600 Subject: [PATCH 8/8] Updates to buildroot config. --- .../buildroot-2023.05.1/linux.config | 115 ++++++++++++++---- .../buildroot-2023.05.1/main.config | 18 ++- 2 files changed, 101 insertions(+), 32 deletions(-) diff --git a/linux/buildroot-config-src/buildroot-2023.05.1/linux.config b/linux/buildroot-config-src/buildroot-2023.05.1/linux.config index 396853c62..e348cde78 100644 --- a/linux/buildroot-config-src/buildroot-2023.05.1/linux.config +++ b/linux/buildroot-config-src/buildroot-2023.05.1/linux.config @@ -2,7 +2,7 @@ # Automatically generated file; DO NOT EDIT. # Linux/riscv 6.6.0 Kernel Configuration # -CONFIG_CC_VERSION_TEXT="riscv64-buildroot-linux-gnu-gcc.br_real (Buildroot 2023.05.3) 12.3.0" +CONFIG_CC_VERSION_TEXT="riscv64-buildroot-linux-gnu-gcc.br_real (Buildroot 2023.05.3-dirty) 12.3.0" CONFIG_CC_IS_GCC=y CONFIG_GCC_VERSION=120300 CONFIG_CLANG_VERSION=0 @@ -131,15 +131,14 @@ CONFIG_BLK_DEV_INITRD=y CONFIG_INITRAMFS_SOURCE="${BR_BINARIES_DIR}/rootfs.cpio" CONFIG_INITRAMFS_ROOT_UID=0 CONFIG_INITRAMFS_ROOT_GID=0 -CONFIG_RD_GZIP=y +# CONFIG_RD_GZIP is not set # CONFIG_RD_BZIP2 is not set # CONFIG_RD_LZMA is not set # CONFIG_RD_XZ is not set # CONFIG_RD_LZO is not set # CONFIG_RD_LZ4 is not set # CONFIG_RD_ZSTD is not set -CONFIG_INITRAMFS_COMPRESSION_GZIP=y -# CONFIG_INITRAMFS_COMPRESSION_NONE is not set +CONFIG_INITRAMFS_COMPRESSION_NONE=y # CONFIG_BOOT_CONFIG is not set CONFIG_INITRAMFS_PRESERVE_MTIME=y CONFIG_CC_OPTIMIZE_FOR_PERFORMANCE=y @@ -261,8 +260,7 @@ CONFIG_RISCV_ISA_C=y CONFIG_RISCV_ISA_SVNAPOT=y CONFIG_RISCV_ISA_SVPBMT=y CONFIG_TOOLCHAIN_HAS_V=y -CONFIG_RISCV_ISA_V=y -CONFIG_RISCV_ISA_V_DEFAULT_ENABLE=y +# CONFIG_RISCV_ISA_V is not set CONFIG_RISCV_ISA_ZICBOM=y CONFIG_RISCV_ISA_ZICBOZ=y CONFIG_TOOLCHAIN_HAS_ZIHINTPAUSE=y @@ -305,17 +303,8 @@ CONFIG_PORTABLE=y # # Power management options # -CONFIG_SUSPEND=y -CONFIG_SUSPEND_FREEZER=y -# CONFIG_SUSPEND_SKIP_SYNC is not set -CONFIG_PM_SLEEP=y -# CONFIG_PM_AUTOSLEEP is not set -# CONFIG_PM_USERSPACE_AUTOSLEEP is not set -# CONFIG_PM_WAKELOCKS is not set -CONFIG_PM=y -# CONFIG_PM_DEBUG is not set -CONFIG_PM_CLK=y -# CONFIG_WQ_POWER_EFFICIENT_DEFAULT is not set +# CONFIG_SUSPEND is not set +# CONFIG_PM is not set CONFIG_ARCH_SUSPEND_POSSIBLE=y # end of Power management options @@ -387,6 +376,7 @@ CONFIG_HAVE_ARCH_TRANSPARENT_HUGEPAGE=y CONFIG_HAVE_ARCH_HUGE_VMAP=y CONFIG_HAVE_ARCH_HUGE_VMALLOC=y CONFIG_ARCH_WANT_HUGE_PMD_SHARE=y +CONFIG_ARCH_WANT_PMD_MKWRITE=y CONFIG_HAVE_MOD_ARCH_SPECIFIC=y CONFIG_MODULES_USE_ELF_RELA=y CONFIG_HAVE_IRQ_EXIT_ON_IRQ_STACK=y @@ -418,7 +408,6 @@ CONFIG_HAVE_PREEMPT_DYNAMIC_KEY=y CONFIG_ARCH_WANT_LD_ORPHAN_WARN=y CONFIG_ARCH_SUPPORTS_DEBUG_PAGEALLOC=y CONFIG_ARCH_SUPPORTS_PAGE_TABLE_CHECK=y -CONFIG_DYNAMIC_SIGFRAME=y # # GCOV-based kernel profiling @@ -467,7 +456,6 @@ CONFIG_EFI_PARTITION=y # end of Partition Types CONFIG_BLK_MQ_VIRTIO=y -CONFIG_BLK_PM=y # # IO Schedulers @@ -483,7 +471,6 @@ CONFIG_ARCH_USE_QUEUED_RWLOCKS=y CONFIG_ARCH_HAS_MMIOWB=y CONFIG_ARCH_HAS_NON_OVERLAPPING_ADDRESS_SPACE=y CONFIG_ARCH_HAS_SYSCALL_WRAPPER=y -CONFIG_FREEZER=y # # Executable file formats @@ -532,18 +519,23 @@ CONFIG_COMPACTION=y CONFIG_COMPACT_UNEVICTABLE_DEFAULT=1 CONFIG_PAGE_REPORTING=y CONFIG_MIGRATION=y +CONFIG_ARCH_ENABLE_THP_MIGRATION=y CONFIG_PHYS_ADDR_T_64BIT=y # CONFIG_KSM is not set CONFIG_DEFAULT_MMAP_MIN_ADDR=4096 CONFIG_ARCH_WANTS_THP_SWAP=y -# CONFIG_TRANSPARENT_HUGEPAGE is not set +CONFIG_TRANSPARENT_HUGEPAGE=y +CONFIG_TRANSPARENT_HUGEPAGE_ALWAYS=y +# CONFIG_TRANSPARENT_HUGEPAGE_MADVISE is not set +CONFIG_THP_SWAP=y +# CONFIG_READ_ONLY_THP_FOR_FS is not set CONFIG_NEED_PER_CPU_KM=y # CONFIG_CMA is not set CONFIG_GENERIC_EARLY_IOREMAP=y # CONFIG_IDLE_PAGE_TRACKING is not set CONFIG_ARCH_HAS_CURRENT_STACK_POINTER=y CONFIG_ZONE_DMA32=y -# CONFIG_VM_EVENT_COUNTERS is not set +CONFIG_VM_EVENT_COUNTERS=y # CONFIG_PERCPU_STATS is not set # CONFIG_GUP_TEST is not set # CONFIG_DMAPOOL_TEST is not set @@ -589,7 +581,6 @@ CONFIG_FW_LOADER=y CONFIG_EXTRA_FIRMWARE="" # CONFIG_FW_LOADER_USER_HELPER is not set # CONFIG_FW_LOADER_COMPRESS is not set -CONFIG_FW_CACHE=y # CONFIG_FW_UPLOAD is not set # end of Firmware loader @@ -607,6 +598,7 @@ CONFIG_GENERIC_ARCH_TOPOLOGY=y # # Bus devices # +# CONFIG_MOXTET is not set # CONFIG_MHI_BUS is not set # CONFIG_MHI_BUS_EP is not set # end of Bus devices @@ -687,8 +679,10 @@ CONFIG_BLK_DEV=y # # Misc devices # +# CONFIG_AD525X_DPOT is not set # CONFIG_DUMMY_IRQ is not set # CONFIG_ENCLOSURE_SERVICES is not set +# CONFIG_LATTICE_ECP3_CONFIG is not set # CONFIG_SRAM is not set # CONFIG_XILINX_SDFEC is not set # CONFIG_OPEN_DICE is not set @@ -698,7 +692,9 @@ CONFIG_BLK_DEV=y # # EEPROM support # +# CONFIG_EEPROM_AT25 is not set # CONFIG_EEPROM_93CX6 is not set +# CONFIG_EEPROM_93XX46 is not set # end of EEPROM support # @@ -706,6 +702,8 @@ CONFIG_BLK_DEV=y # # end of Texas Instruments shared transport line discipline +# CONFIG_SENSORS_LIS3_SPI is not set + # # Altera FPGA firmware download module (requires I2C) # @@ -767,7 +765,6 @@ CONFIG_TTY=y CONFIG_VT=y CONFIG_CONSOLE_TRANSLATIONS=y CONFIG_VT_CONSOLE=y -CONFIG_VT_CONSOLE_SLEEP=y CONFIG_HW_CONSOLE=y CONFIG_VT_HW_CONSOLE_BINDING=y CONFIG_UNIX98_PTYS=y @@ -796,12 +793,15 @@ CONFIG_SERIAL_OF_PLATFORM=y # Non-8250 serial port support # # CONFIG_SERIAL_EARLYCON_SEMIHOST is not set +# CONFIG_SERIAL_MAX3100 is not set +# CONFIG_SERIAL_MAX310X is not set # CONFIG_SERIAL_UARTLITE is not set CONFIG_SERIAL_CORE=y CONFIG_SERIAL_CORE_CONSOLE=y CONFIG_SERIAL_SIFIVE=y CONFIG_SERIAL_SIFIVE_CONSOLE=y # CONFIG_SERIAL_SCCNXP is not set +# CONFIG_SERIAL_SC16IS7XX is not set # CONFIG_SERIAL_ALTERA_JTAGUART is not set # CONFIG_SERIAL_ALTERA_UART is not set # CONFIG_SERIAL_XILINX_PS_UART is not set @@ -839,7 +839,43 @@ CONFIG_DEVPORT=y # end of I2C support # CONFIG_I3C is not set -# CONFIG_SPI is not set +CONFIG_SPI=y +# CONFIG_SPI_DEBUG is not set +CONFIG_SPI_MASTER=y +# CONFIG_SPI_MEM is not set + +# +# SPI Master Controller Drivers +# +# CONFIG_SPI_ALTERA is not set +# CONFIG_SPI_AXI_SPI_ENGINE is not set +# CONFIG_SPI_BITBANG is not set +# CONFIG_SPI_CADENCE is not set +# CONFIG_SPI_CADENCE_QUADSPI is not set +# CONFIG_SPI_DESIGNWARE is not set +# CONFIG_SPI_GPIO is not set +# CONFIG_SPI_FSL_SPI is not set +# CONFIG_SPI_MICROCHIP_CORE is not set +# CONFIG_SPI_MICROCHIP_CORE_QSPI is not set +# CONFIG_SPI_OC_TINY is not set +CONFIG_SPI_SIFIVE=y +# CONFIG_SPI_MXIC is not set +# CONFIG_SPI_XILINX is not set +# CONFIG_SPI_ZYNQMP_GQSPI is not set +# CONFIG_SPI_AMD is not set + +# +# SPI Multiplexer support +# +# CONFIG_SPI_MUX is not set + +# +# SPI Protocol Masters +# +# CONFIG_SPI_SPIDEV is not set +# CONFIG_SPI_LOOPBACK_TEST is not set +# CONFIG_SPI_TLE62X0 is not set +# CONFIG_SPI_SLAVE is not set # CONFIG_SPMI is not set # CONFIG_HSI is not set # CONFIG_PPS is not set @@ -887,6 +923,17 @@ CONFIG_GPIO_SIFIVE=y # # end of MFD GPIO expanders +# +# SPI GPIO expanders +# +# CONFIG_GPIO_74X164 is not set +# CONFIG_GPIO_MAX3191X is not set +# CONFIG_GPIO_MAX7301 is not set +# CONFIG_GPIO_MC33880 is not set +# CONFIG_GPIO_PISOSR is not set +# CONFIG_GPIO_XRA1403 is not set +# end of SPI GPIO expanders + # # Virtual GPIO drivers # @@ -914,13 +961,26 @@ CONFIG_BCMA_POSSIBLE=y # CONFIG_MFD_ATMEL_FLEXCOM is not set # CONFIG_MFD_ATMEL_HLCDC is not set # CONFIG_MFD_MADERA is not set +# CONFIG_MFD_DA9052_SPI is not set +# CONFIG_MFD_MC13XXX_SPI is not set # CONFIG_MFD_HI6421_PMIC is not set # CONFIG_MFD_KEMPLD is not set # CONFIG_MFD_MT6397 is not set +# CONFIG_MFD_OCELOT is not set +# CONFIG_EZX_PCAP is not set +# CONFIG_MFD_CPCAP is not set +# CONFIG_MFD_RK8XX_SPI is not set # CONFIG_MFD_SM501 is not set +# CONFIG_MFD_STMPE is not set # CONFIG_MFD_SYSCON is not set # CONFIG_MFD_TI_AM335X_TSCADC is not set +# CONFIG_MFD_TPS65912_SPI is not set +# CONFIG_MFD_TPS6594_SPI is not set # CONFIG_MFD_TQMX86 is not set +# CONFIG_MFD_ARIZONA_SPI is not set +# CONFIG_MFD_WM831X_SPI is not set +# CONFIG_MFD_INTEL_M10_BMC_SPI is not set +# CONFIG_MFD_RSMU_SPI is not set # end of Multifunction device drivers # CONFIG_REGULATOR is not set @@ -982,6 +1042,7 @@ CONFIG_MMC_BLOCK_MINORS=8 # # CONFIG_MMC_DEBUG is not set # CONFIG_MMC_SDHCI is not set +# CONFIG_MMC_SPI is not set # CONFIG_MMC_DW is not set # CONFIG_MMC_USDHI6ROL0 is not set # CONFIG_MMC_CQHCI is not set @@ -1021,6 +1082,7 @@ CONFIG_VIRTIO=y CONFIG_HAVE_CLK=y CONFIG_HAVE_CLK_PREPARE=y CONFIG_COMMON_CLK=y +# CONFIG_LMK04832 is not set # CONFIG_COMMON_CLK_AXI_CLKGEN is not set # CONFIG_COMMON_CLK_FIXED_MMIO is not set # CONFIG_XILINX_VCU is not set @@ -1484,10 +1546,9 @@ CONFIG_CRC32_SLICEBY8=y # CONFIG_LIBCRC32C is not set # CONFIG_CRC8 is not set # CONFIG_RANDOM32_SELFTEST is not set -CONFIG_ZLIB_INFLATE=y # CONFIG_XZ_DEC is not set -CONFIG_DECOMPRESS_GZIP=y CONFIG_GENERIC_ALLOCATOR=y +CONFIG_XARRAY_MULTI=y CONFIG_HAS_IOMEM=y CONFIG_HAS_IOPORT=y CONFIG_HAS_IOPORT_MAP=y diff --git a/linux/buildroot-config-src/buildroot-2023.05.1/main.config b/linux/buildroot-config-src/buildroot-2023.05.1/main.config index 6730d0801..348922670 100644 --- a/linux/buildroot-config-src/buildroot-2023.05.1/main.config +++ b/linux/buildroot-config-src/buildroot-2023.05.1/main.config @@ -844,7 +844,14 @@ BR2_PACKAGE_NETSURF_ARCH_SUPPORTS=y # ogre needs X11 and an OpenGL provider # # BR2_PACKAGE_PSPLASH is not set -# BR2_PACKAGE_SDL is not set +BR2_PACKAGE_SDL=y +BR2_PACKAGE_SDL_FBCON=y +# BR2_PACKAGE_SDL_GFX is not set +# BR2_PACKAGE_SDL_IMAGE is not set +# BR2_PACKAGE_SDL_MIXER is not set +# BR2_PACKAGE_SDL_NET is not set +# BR2_PACKAGE_SDL_SOUND is not set +# BR2_PACKAGE_SDL_TTF is not set # BR2_PACKAGE_SDL2 is not set # BR2_PACKAGE_VULKAN_HEADERS is not set @@ -2529,13 +2536,14 @@ BR2_TARGET_ROOTFS_INITRAMFS=y # BR2_TARGET_BEAGLEV_DDRINIT is not set # BR2_TARGET_BEAGLEV_SECONDBOOT is not set BR2_TARGET_OPENSBI=y -BR2_TARGET_OPENSBI_LATEST_VERSION=y -# BR2_TARGET_OPENSBI_CUSTOM_VERSION is not set +# BR2_TARGET_OPENSBI_LATEST_VERSION is not set +BR2_TARGET_OPENSBI_CUSTOM_VERSION=y # BR2_TARGET_OPENSBI_CUSTOM_TARBALL is not set # BR2_TARGET_OPENSBI_CUSTOM_GIT is not set -BR2_TARGET_OPENSBI_VERSION="1.2" +BR2_TARGET_OPENSBI_CUSTOM_VERSION_VALUE="1.3" +BR2_TARGET_OPENSBI_VERSION="1.3" BR2_TARGET_OPENSBI_PLAT="generic" -BR2_TARGET_OPENSBI_INSTALL_DYNAMIC_IMG=y +# BR2_TARGET_OPENSBI_INSTALL_DYNAMIC_IMG is not set BR2_TARGET_OPENSBI_INSTALL_JUMP_IMG=y # BR2_TARGET_OPENSBI_INSTALL_PAYLOAD_IMG is not set # BR2_TARGET_OPENSBI_LINUX_PAYLOAD is not set