mirror of
https://github.com/openhwgroup/cvw
synced 2025-02-11 06:05:49 +00:00
Added stop to coremark_bare testbench
This commit is contained in:
parent
95ce4b7daa
commit
27a807db95
@ -83,6 +83,14 @@ module testbench();
|
||||
begin
|
||||
clk = 1; # 5; clk = 0; # 5;
|
||||
end
|
||||
always @(negedge clk)
|
||||
begin
|
||||
if (dut.hart.priv.ebreakM) begin
|
||||
#20;
|
||||
$display("Code ended with ebreakM");
|
||||
$stop;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
||||
/* verilator lint_on STMTDLY */
|
||||
|
Loading…
Reference in New Issue
Block a user