diff --git a/testbench/testbench.sv b/testbench/testbench.sv index 3166383dc..6bce57714 100644 --- a/testbench/testbench.sv +++ b/testbench/testbench.sv @@ -37,7 +37,7 @@ module testbench; parameter DEBUG=0; parameter TEST="none"; parameter PrintHPMCounters=0; - parameter BPRED_LOGGER=1; + parameter BPRED_LOGGER=0; parameter I_CACHE_ADDR_LOGGER=0; parameter D_CACHE_ADDR_LOGGER=0; @@ -190,7 +190,7 @@ module testbench; logic CopyRAM; string signame, memfilename, pathname; - integer begin_signature_addr; + integer begin_signature_addr, end_signature_addr, signature_size; assign ResetThreshold = 3'd5; @@ -253,6 +253,8 @@ module testbench; //////////////////////////////////////////////////////////////////////////////// logic [P.XLEN-1:0] testadr; assign begin_signature_addr = ProgramAddrLabelArray["begin_signature"]; + assign end_signature_addr = ProgramAddrLabelArray["sig_end_canary"]; + assign signature_size = end_signature_addr - begin_signature_addr; always @(posedge clk) begin if(SelectTest) begin if (riscofTest) memfilename = {pathname, tests[test], "/ref/ref.elf.memfile"}; @@ -321,70 +323,15 @@ module testbench; end - //////////////////////////////////////////////////////////////////////////////// - // Some memories are not reset, but should be zeros or set to some initial value for simulation - //////////////////////////////////////////////////////////////////////////////// -/* -----\/----- EXCLUDED -----\/----- - integer adrindex; - always @(posedge clk) begin - if (ResetMem) // program memory is sometimes reset - if (P.UNCORE_RAM_SUPPORTED) - for (adrindex=0; adrindex<(P.UNCORE_RAM_RANGE>>1+(P.XLEN/32)); adrindex = adrindex+1) - dut.uncore.uncore.ram.ram.memory.RAM[adrindex] = '0; - if(reset) begin // branch predictor must always be reset - if (P.BPRED_SUPPORTED) begin - // local history only - if (P.BPRED_TYPE == `BP_LOCAL_AHEAD | P.BPRED_TYPE == `BP_LOCAL_REPAIR) - for(adrindex = 0; adrindex < 2**P.BPRED_NUM_LHR; adrindex++) - dut.core.ifu.bpred.bpred.Predictor.DirPredictor.BHT.mem[adrindex] = 0; - for(adrindex = 0; adrindex < 2**P.BTB_SIZE; adrindex++) - dut.core.ifu.bpred.bpred.TargetPredictor.memory.mem[adrindex] = 0; - for(adrindex = 0; adrindex < 2**P.BPRED_SIZE; adrindex++) - dut.core.ifu.bpred.bpred.Predictor.DirPredictor.PHT.mem[adrindex] = 0; - end - end - end - -----/\----- EXCLUDED -----/\----- */ - - // still not working in this format -/* -----\/----- EXCLUDED -----\/----- - integer adrindex; - if (P.UNCORE_RAM_SUPPORTED) begin - always @(posedge clk) begin - if (ResetMem) // program memory is sometimes reset - for (adrindex=0; adrindex<(P.UNCORE_RAM_RANGE>>1+(P.XLEN/32)); adrindex = adrindex+1) - dut.uncore.uncore.ram.ram.memory.RAM[adrindex] = '0; - end - end - - genvar adrindex2; - - if (P.BPRED_SUPPORTED & (P.BPRED_TYPE == `BP_LOCAL_AHEAD | P.BPRED_TYPE == `BP_LOCAL_REPAIR)) begin - for(adrindex2 = 0; adrindex2 < 2**P.BPRED_NUM_LHR; adrindex2++) - always @(posedge clk) begin - dut.core.ifu.bpred.bpred.Predictor.DirPredictor.BHT.mem[adrindex2] = 0; - end - end - - if (P.BPRED_SUPPORTED) begin - always @(posedge clk) - dut.core.ifu.bpred.bpred.TargetPredictor.memory.mem[0] = 0; - for(adrindex2 = 0; adrindex2 < 2**P.BTB_SIZE; adrindex2++) - always @(posedge clk) begin - dut.core.ifu.bpred.bpred.TargetPredictor.memory.mem[adrindex2] = 0; - end - for(adrindex2 = 0; adrindex2 < 2**P.BPRED_SIZE; adrindex2++) - always @(posedge clk) begin - dut.core.ifu.bpred.bpred.Predictor.DirPredictor.PHT.mem[adrindex2] = 0; - end - end - -----/\----- EXCLUDED -----/\----- */ - //////////////////////////////////////////////////////////////////////////////// // load memories with program image //////////////////////////////////////////////////////////////////////////////// - integer IndexTemp; + integer ShadowIndex; + integer LogXLEN; + integer StartIndex; + integer EndIndex; + integer BaseIndex; if (P.SDC_SUPPORTED) begin always @(posedge clk) begin if (LoadMem) begin @@ -403,15 +350,20 @@ module testbench; $readmemh(memfilename, dut.core.ifu.irom.irom.rom.ROM); end end - end else if (P.BUS_SUPPORTED) begin + end else if (P.BUS_SUPPORTED) begin : bus_supported always @(posedge clk) begin if (LoadMem) begin $readmemh(memfilename, dut.uncore.uncore.ram.ram.memory.RAM); end if (CopyRAM) begin - for(IndexTemp = 0; IndexTemp < (P.UNCORE_RAM_RANGE)>>1+(P.XLEN/32); IndexTemp++) begin - //if(dut.uncore.uncore.ram.ram.memory.RAM[IndexTemp] === 'bx) break; // end copy early if at the end of the sig *** double check this will be valid for all tests. - testbench.DCacheFlushFSM.ShadowRAM[((P.UNCORE_RAM_BASE)>>1+(P.XLEN/32)) + IndexTemp] = dut.uncore.uncore.ram.ram.memory.RAM[IndexTemp]; + LogXLEN = (1 + P.XLEN/32); // 2 for rv32 and 3 for rv64 + StartIndex = begin_signature_addr >> LogXLEN; + EndIndex = (end_signature_addr >> LogXLEN) + 8; + BaseIndex = P.UNCORE_RAM_BASE >> LogXLEN; + $display("Copying from uncore RAM to shadow RAM. begin_signature_addr = %x, end_signature_addr = %x, StartIndex = %x, EndIndex = %x, BaseIndex = %x, LogXLEN = %x", + begin_signature_addr, end_signature_addr, StartIndex, EndIndex, BaseIndex, LogXLEN); + for(ShadowIndex = StartIndex; ShadowIndex <= EndIndex; ShadowIndex++) begin + testbench.DCacheFlushFSM.ShadowRAM[ShadowIndex] = dut.uncore.uncore.ram.ram.memory.RAM[ShadowIndex - BaseIndex]; end end end @@ -423,9 +375,14 @@ module testbench; $display("Read memfile %s", memfilename); end if (CopyRAM) begin - for(IndexTemp = 0; IndexTemp < (P.DTIM_RANGE)>>1+(P.XLEN/32); IndexTemp++) begin - //if(dut.core.lsu.dtim.dtim.ram.RAM[IndexTemp] === 'bx) break; // end copy early if at the end of the sig *** double check this will be valid for all tests. - testbench.DCacheFlushFSM.ShadowRAM[((P.DTIM_BASE)>>1+(P.XLEN/32)) + IndexTemp] = dut.core.lsu.dtim.dtim.ram.RAM[IndexTemp]; + LogXLEN = (1 + P.XLEN/32); // 2 for rv32 and 3 for rv64 + StartIndex = begin_signature_addr >> LogXLEN; + EndIndex = (end_signature_addr >> LogXLEN) + 8; + BaseIndex = P.UNCORE_RAM_BASE >> LogXLEN; + $display("Copying from uncore RAM to shadow RAM. begin_signature_addr = %x, end_signature_addr = %x, StartIndex = %x, EndIndex = %x, BaseIndex = %x, LogXLEN = %x", + begin_signature_addr, end_signature_addr, StartIndex, EndIndex, BaseIndex, LogXLEN); + for(ShadowIndex = StartIndex; ShadowIndex <= EndIndex; ShadowIndex++) begin + testbench.DCacheFlushFSM.ShadowRAM[ShadowIndex] = dut.core.lsu.dtim.dtim.ram.RAM[ShadowIndex - BaseIndex]; end end end @@ -626,14 +583,15 @@ task automatic updateProgramAddrLabelArray; inout integer ProgramAddrLabelArray [string]; // Gets the memory location of begin_signature integer ProgramLabelMapFP, ProgramAddrMapFP; + ProgramLabelMapFP = $fopen(ProgramLabelMapFile, "r"); ProgramAddrMapFP = $fopen(ProgramAddrMapFile, "r"); - if (ProgramLabelMapFP & ProgramAddrMapFP) begin // check we found both files // *** RT: I'm a bit confused by the required initialization here. ProgramAddrLabelArray["begin_signature"] = 0; ProgramAddrLabelArray["tohost"] = 0; + ProgramAddrLabelArray["sig_end_canary"] = 0; while (!$feof(ProgramLabelMapFP)) begin string label, adrstr; integer returncode; @@ -642,6 +600,10 @@ task automatic updateProgramAddrLabelArray; if (ProgramAddrLabelArray.exists(label)) ProgramAddrLabelArray[label] = adrstr.atohex(); end end + + if(ProgramAddrLabelArray["begin"] == 0) $display("Couldn't find begin_signature in %s", ProgramLabelMapFile); + if(ProgramAddrLabelArray["sig_end_canary"] == 0) $display("Couldn't find sig_end_canary in %s", ProgramLabelMapFile); + $fclose(ProgramLabelMapFP); $fclose(ProgramAddrMapFP); /* verilator lint_on WIDTHTRUNC */ diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/D/src/WALLY-fld-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/D/src/WALLY-fld-01.S index 79b1c963e..498c68fce 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/D/src/WALLY-fld-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/D/src/WALLY-fld-01.S @@ -76,6 +76,10 @@ gpr_save: #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: + RVMODEL_DATA_END // ../../wally-riscv-arch-test/riscv-test-suite/rv32i_m/D/src/WALLY-fld.S // David_Harris@hmc.edu & Katherine Parry diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S index 4abf30fdf..a85ce8b44 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S @@ -2997,5 +2997,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S index 87ce8463e..619bf6f28 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S @@ -2887,5 +2887,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S index dca83d0f0..b768ec1bb 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S @@ -3022,5 +3022,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S index 2114d6aee..212178e6a 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S @@ -2847,5 +2847,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S index 517a4b8d1..6ce10b6f1 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S @@ -387,5 +387,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S index 946402467..4b9c621f1 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S @@ -3027,5 +3027,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S index d8cd00477..45da8a3c6 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S @@ -3012,5 +3012,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S index 43bf2ec72..e4d89fc09 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S @@ -3717,5 +3717,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S index a23350a44..540d301aa 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S @@ -3007,5 +3007,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S index e6e5b69ac..ef04e47c0 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S @@ -3712,5 +3712,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S index 92ccd2b4f..faccec958 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S @@ -3007,5 +3007,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S index 2fd3e9e2b..f351234d6 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S @@ -152,5 +152,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S index c8ac34760..1b0e15adf 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S @@ -212,5 +212,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S index d42f83ccd..88f7d876e 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S @@ -162,5 +162,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S index bc2c0527d..069c91382 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S @@ -162,5 +162,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S index e16cb695d..9ca986ac7 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S @@ -152,5 +152,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S index 9e4427ca5..4676f9265 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S @@ -157,5 +157,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S index f1257005f..ef879ec4d 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S @@ -387,5 +387,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S index e1f368a9b..f3429cd11 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S @@ -157,5 +157,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S index 193785007..2e944e959 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S @@ -3032,5 +3032,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S index 3c8078862..9a2ef6651 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S @@ -2862,5 +2862,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S index 3eaf9072c..9fc9f1ca9 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S @@ -462,5 +462,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S index 86d7c2781..17354ee56 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S @@ -432,5 +432,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S index f219b7af4..3aedb337b 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S @@ -517,5 +517,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S index 754c14a5d..e098b8b32 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S @@ -522,5 +522,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S index f7c57a553..be7255504 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S @@ -2987,5 +2987,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S index c0a3feccd..f079caf72 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S @@ -2887,5 +2887,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S index 79336c4f0..1d2ea3fb4 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S @@ -3562,5 +3562,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S index b28398c3d..c6bbf7514 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S @@ -3692,5 +3692,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S index 7e3e8c253..cd357fa37 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S @@ -527,5 +527,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S index e87f2b740..79cc17757 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S @@ -512,5 +512,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S index 80f27c5fd..d8121944f 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S @@ -522,5 +522,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S index 8ecc2f5dc..d45ea9d47 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S @@ -517,5 +517,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S index 60ce1b737..e8ef3b8b3 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S @@ -3002,5 +3002,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S index 71dd41b89..52e2eb629 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S @@ -412,5 +412,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S index 41fa0ac9d..cd622faac 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S @@ -2997,5 +2997,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S index cc3f509f7..ecb6fac25 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S @@ -2877,5 +2877,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-ADD.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-ADD.S index 9b6561514..b07384e00 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-ADD.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-ADD.S @@ -132,6 +132,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-ADD.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLT.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLT.S index 10e5bc509..8f7269e7f 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLT.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLT.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLT.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLTU.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLTU.S index aa080daf9..2c8dab781 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLTU.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLTU.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SLTU.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SUB.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SUB.S index b7f5fa299..70bb79ef8 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SUB.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SUB.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-SUB.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-XOR.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-XOR.S index ea658637d..884d8c1a3 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-XOR.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-XOR.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/WALLY-XOR.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-TEST-LIB-32.h b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-TEST-LIB-32.h index abbfbaf56..654c13568 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-TEST-LIB-32.h +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-TEST-LIB-32.h @@ -1428,6 +1428,9 @@ RVMODEL_DATA_BEGIN test_1_res: .fill 1024, 4, 0xdeadbeef +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END #ifdef rvtest_mtrap_routine diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cbom-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cbom-01.S index b4d4bae3c..2edd1fc55 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cbom-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cbom-01.S @@ -468,5 +468,8 @@ Destination3: signature: .fill 16, 4, 0x0bad0bad +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cboz-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cboz-01.S index 92e046bd1..ceb3c3603 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cboz-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-cboz-01.S @@ -372,6 +372,8 @@ Destination2: .fill 16, 4, 0xdeadbeef signature: .fill 16, 4, 0x0bad0bad - +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-lrsc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-lrsc-01.S index 2ea73ed35..4b4968be9 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-lrsc-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-lrsc-01.S @@ -113,4 +113,7 @@ RVMODEL_DATA_BEGIN # signature output wally_signature: .fill 6, 4, -1 +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-periph-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-periph-01.S index 4c06a2def..3789f9683 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-periph-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/privilege/src/WALLY-periph-01.S @@ -917,4 +917,7 @@ RVMODEL_DATA_BEGIN # signature output wally_signature: .fill 0x200, 8, 0x00000000 +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-ADD.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-ADD.S index ed51aca50..26b2f501c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-ADD.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-ADD.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-ADD.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLT.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLT.S index 134bfe2aa..8dd500f83 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLT.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLT.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLT.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLTU.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLTU.S index d3671a1e5..0aec30e56 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLTU.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLTU.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLTU.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SUB.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SUB.S index bd71d8ba0..1157c194c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SUB.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SUB.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SUB.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-XOR.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-XOR.S index b91ba798f..949672e42 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-XOR.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-XOR.S @@ -133,6 +133,9 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-XOR.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S index 1b573f563..a1ffd16e6 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S @@ -360,5 +360,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S index 652fdcad2..dd41ee1d5 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S @@ -385,5 +385,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S index d01a79487..c6522eb85 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S @@ -360,5 +360,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S index 9895fdffb..09a5cc8b1 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S @@ -385,5 +385,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S index e870378f8..d5347e4f1 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S @@ -360,5 +360,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S index 135f6a5e5..9a08f8ffa 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S @@ -385,5 +385,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S index b623390e8..bbb646755 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S @@ -360,5 +360,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S index 1f01ceffc..2cd8b297e 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S @@ -385,5 +385,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S index f926ced17..0659bd0e9 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S index d827a5915..63d15021a 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S index 281316aa8..4dbb06c87 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S index 193161514..7616326ea 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S @@ -505,5 +505,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S index 3f40ce4aa..9385c36a9 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S @@ -1180,5 +1180,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S index daf30b85d..49b72ae25 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S index e46e5636f..611aca7cb 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S index aac8a1997..937e4980c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S @@ -2712,5 +2712,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S index 2c76117d3..1903398fe 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S @@ -2712,5 +2712,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S index 65270346f..ee1995cf4 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S @@ -2702,5 +2702,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S index f25688408..ac7884aad 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S index 6e96f15cd..25dcba909 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S @@ -2692,5 +2692,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S index 84bc2ca74..bd8e00ea1 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S index 842dead52..decb80d53 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S index ed52f53dc..42d68e344 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S @@ -2157,5 +2157,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S index 1a0dcaf50..e3f8a7ef4 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S @@ -2157,5 +2157,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S index b9e5d6ab1..8e57a59d8 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S @@ -2157,5 +2157,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S index 945868cea..2854f2b96 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S @@ -2712,5 +2712,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S index f34961f3b..1b5351ee5 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S index e54d69fae..bec81a1db 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S index 64b60a7f1..91b414049 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S @@ -1527,5 +1527,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S index f9d6a21ac..1270e98d0 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S @@ -1512,5 +1512,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S index c38ced3ae..96c1d8dbe 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S index 36a20d1fb..5951cc45a 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S index 6e17b1d87..48e3f05ba 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S index df040e50b..cd1f76563 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S index 11c729bef..be943441c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S index 23e180ee9..7d147a4bb 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S index b06950bd4..c7a7474fa 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S index bd40a36ac..65f752670 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S index 77c5577fe..373c688b0 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S index 3e3c833f0..511778482 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S index 7c84af1fc..7854abe88 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S index 834741471..bc46f31db 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S index 8e2c36a0d..4d057d447 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S index 654ce3e25..e85415807 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S index 93a6a38a7..0e6d8f686 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S index 2eea575e1..bd25a3831 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S index 200d8a8a1..92ef9a051 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S index e5e7ee37a..7daf6c2b1 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S index b7bfa3a9e..c31ee9218 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S index 6c75a3a32..fe3419c44 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S index 4d71aa33d..36cc0c412 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S index e1d7d2bc3..82a40511c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S index d1bdc6f12..bb011edc6 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S index 0ef60a2ef..97d184445 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S index 318e75df2..faf0a53cf 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S index 73a31131f..5fcf4fec6 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S index 72c238d3f..db0556e96 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S index c567bd969..6975f3f44 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S index 77423add8..e2c42c26a 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S @@ -1275,5 +1275,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S index 80d605ce8..34a1d2f6f 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S @@ -965,5 +965,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S index 5a4aa340b..62b003137 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S @@ -300,5 +300,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S index 5b11998a0..b9b5b5d47 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S @@ -1485,5 +1485,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S index ccd7d481e..a0a9d7a3f 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S @@ -411,5 +411,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S index b6a43b991..abc13221d 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S @@ -1485,5 +1485,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S index 8cb95a8bb..05b2330ac 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S @@ -411,5 +411,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S index e8675ad95..3e48b21d3 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S @@ -2707,5 +2707,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S index 5f1f7e668..de93a7a4e 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S @@ -2812,5 +2812,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S index 1f02df067..c822eb12c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S @@ -2812,5 +2812,8 @@ gpr_save: .fill 32*(XLEN/32),4,0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S index 330ea5c63..01773ae04 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/Zifencei/src/Fencei.S @@ -93,4 +93,7 @@ gpr_save: .fill 32*(XLEN/32), 4, 0xdeadbeef #endif +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-LIB-64.h b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-LIB-64.h index 67d76c6ab..d939c130e 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-LIB-64.h +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-LIB-64.h @@ -1463,6 +1463,9 @@ RVMODEL_DATA_BEGIN test_1_res: .fill 1024, 4, 0xdeadbeef +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END #ifdef rvtest_mtrap_routine diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cbom-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cbom-01.S index f8725e08b..31b11874b 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cbom-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cbom-01.S @@ -625,6 +625,9 @@ Destination3: .fill 128, 4, 0xdeadbeef signature: .fill 44, 4, 0x0bad0bad +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cboz-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cboz-01.S index 1fe3e120b..97c3946eb 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cboz-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-cboz-01.S @@ -372,6 +372,9 @@ Destination2: .fill 16, 4, 0xdeadbeef signature: .fill 32, 4, 0x0bad0bad +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-lrsc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-lrsc-01.S index fd8b6ceaa..6258b0807 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-lrsc-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-lrsc-01.S @@ -135,4 +135,7 @@ RVMODEL_DATA_BEGIN wally_signature: .fill 12, 8, -1 +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-misaligned-access-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-misaligned-access-01.S index 3ff89a237..a831df75a 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-misaligned-access-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-misaligned-access-01.S @@ -747,6 +747,9 @@ Double7DstData: signature: .fill 225, 1, 0x00 +sig_end_canary: +.int 0x0 +rvtest_sig_end: RVMODEL_DATA_END // ../wally-riscv-arch-test/riscv-test-suite/rv64i_m/I/src/WALLY-SLT.S // David_Harris@hmc.edu & Katherine Parry