diff --git a/pipelined/src/privileged/csr.sv b/pipelined/src/privileged/csr.sv index 258f0f64e..ca9f04b00 100644 --- a/pipelined/src/privileged/csr.sv +++ b/pipelined/src/privileged/csr.sv @@ -42,7 +42,7 @@ module csr #(parameter input logic [31:0] InstrM, input logic [`XLEN-1:0] PCM, SrcAM, input logic CSRReadM, CSRWriteM, TrapM, MTrapM, STrapM, UTrapM, mretM, sretM, wfiM, InterruptM, - input logic TimerIntM, MExtIntM, SExtIntM, SwIntM, + input logic TimerInt, MExtInt, SExtInt, SwInt, input logic [63:0] MTIME_CLINT, input logic InstrValidM, FRegWriteM, LoadStallD, input logic BPPredDirWrongM, @@ -134,7 +134,7 @@ module csr #(parameter csri csri(.clk, .reset, .InstrValidNotFlushedM, .StallW, .CSRMWriteM, .CSRSWriteM, .CSRWriteValM, .CSRAdrM, - .MExtIntM, .SExtIntM, .TimerIntM, .SwIntM, + .MExtInt, .SExtInt, .TimerInt, .SwInt, .MIP_REGW, .MIE_REGW, .SIP_REGW, .SIE_REGW, .MIDELEG_REGW, .IP_REGW_writeable); csrsr csrsr(.clk, .reset, .StallW, .WriteMSTATUSM, .WriteMSTATUSHM, .WriteSSTATUSM, diff --git a/pipelined/src/privileged/csri.sv b/pipelined/src/privileged/csri.sv index 343a900d9..b0a117bff 100644 --- a/pipelined/src/privileged/csri.sv +++ b/pipelined/src/privileged/csri.sv @@ -42,7 +42,7 @@ module csri #(parameter input logic CSRMWriteM, CSRSWriteM, input logic [`XLEN-1:0] CSRWriteValM, input logic [11:0] CSRAdrM, - (* mark_debug = "true" *) input logic MExtIntM, SExtIntM, TimerIntM, SwIntM, + (* mark_debug = "true" *) input logic MExtInt, SExtInt, TimerInt, SwInt, input logic [11:0] MIDELEG_REGW, output logic [11:0] MIP_REGW, MIE_REGW, SIP_REGW, SIE_REGW, (* mark_debug = "true" *) output logic [11:0] IP_REGW_writeable // only SEIP, STIP, SSIP are actually writeable; the rest are hardwired to 0 @@ -80,7 +80,7 @@ module csri #(parameter else if (WriteMIEM) IE_REGW <= (CSRWriteValM[11:0] & MIE_WRITE_MASK); // MIE controls M and S fields else if (WriteSIEM) IE_REGW <= (CSRWriteValM[11:0] & 12'h222) | (IE_REGW & 12'h888); // only S fields - assign IP_REGW = {MExtIntM,1'b0,SExtIntM|IP_REGW_writeable[9],1'b0,TimerIntM,1'b0,IP_REGW_writeable[5],1'b0,SwIntM,1'b0,IP_REGW_writeable[1],1'b0}; + assign IP_REGW = {MExtInt,1'b0,SExtInt|IP_REGW_writeable[9],1'b0,TimerInt,1'b0,IP_REGW_writeable[5],1'b0,SwInt,1'b0,IP_REGW_writeable[1],1'b0}; assign MIP_REGW = IP_REGW; assign MIE_REGW = IE_REGW; diff --git a/pipelined/src/privileged/privileged.sv b/pipelined/src/privileged/privileged.sv index 2d2877df2..575760592 100644 --- a/pipelined/src/privileged/privileged.sv +++ b/pipelined/src/privileged/privileged.sv @@ -55,7 +55,7 @@ module privileged ( input logic InstrMisalignedFaultM, IllegalIEUInstrFaultD, IllegalFPUInstrD, input logic LoadMisalignedFaultM, input logic StoreAmoMisalignedFaultM, - input logic TimerIntM, MExtIntM, SExtIntM, SwIntM, + input logic TimerInt, MExtInt, SExtInt, SwInt, input logic [63:0] MTIME_CLINT, input logic [`XLEN-1:0] IEUAdrM, input logic [4:0] SetFflagsM, @@ -161,7 +161,7 @@ module privileged ( .StallE, .StallM, .StallW, .InstrM, .PCM, .SrcAM, .CSRReadM, .CSRWriteM, .TrapM, .MTrapM, .STrapM, .UTrapM, .mretM, .sretM, .wfiM, .InterruptM, - .TimerIntM, .MExtIntM, .SExtIntM, .SwIntM, + .TimerInt, .MExtInt, .SExtInt, .SwInt, .MTIME_CLINT, .InstrValidM, .FRegWriteM, .LoadStallD, .BPPredDirWrongM, .BTBPredPCWrongM, .RASPredPCWrongM, diff --git a/pipelined/src/uncore/clint.sv b/pipelined/src/uncore/clint.sv index 5dbb89b88..3b05df8dc 100644 --- a/pipelined/src/uncore/clint.sv +++ b/pipelined/src/uncore/clint.sv @@ -43,7 +43,7 @@ module clint ( output logic [`XLEN-1:0] HREADCLINT, output logic HRESPCLINT, HREADYCLINT, (* mark_debug = "true" *) output logic [63:0] MTIME, - output logic TimerIntM, SwIntM); + output logic TimerInt, SwInt); logic MSIP; @@ -159,9 +159,9 @@ module clint ( end // Software interrupt when MSIP is set - assign SwIntM = MSIP; + assign SwInt = MSIP; // Timer interrupt when MTIME >= MTIMECMP - assign TimerIntM = ({1'b0, MTIME} >= {1'b0, MTIMECMP}); // unsigned comparison + assign TimerInt = ({1'b0, MTIME} >= {1'b0, MTIMECMP}); // unsigned comparison endmodule diff --git a/pipelined/src/uncore/plic.sv b/pipelined/src/uncore/plic.sv index fd3f5d1d2..6085ab55c 100644 --- a/pipelined/src/uncore/plic.sv +++ b/pipelined/src/uncore/plic.sv @@ -57,7 +57,7 @@ module plic ( input logic UARTIntr,GPIOIntr, output logic [`XLEN-1:0] HREADPLIC, output logic HRESPPLIC, HREADYPLIC, - (* mark_debug = "true" *) output logic MExtIntM, SExtIntM); + (* mark_debug = "true" *) output logic MExtInt, SExtInt); logic memwrite, memread, initTrans; logic [23:0] entry, entryd; @@ -252,7 +252,7 @@ module plic ( end // is the max priority > threshold? // *** would it be any better to first priority encode maxPriority into binary and then ">" with threshold? - assign MExtIntM = |(threshMask[0] & priorities_with_irqs[0]); - assign SExtIntM = |(threshMask[1] & priorities_with_irqs[1]); + assign MExtInt = |(threshMask[0] & priorities_with_irqs[0]); + assign SExtInt = |(threshMask[1] & priorities_with_irqs[1]); endmodule diff --git a/pipelined/src/uncore/uncore.sv b/pipelined/src/uncore/uncore.sv index 7649745bc..f9d32a937 100644 --- a/pipelined/src/uncore/uncore.sv +++ b/pipelined/src/uncore/uncore.sv @@ -55,7 +55,7 @@ module uncore ( input logic [3:0] HSIZED, input logic HWRITED, // peripheral pins - output logic TimerIntM, SwIntM, MExtIntM, SExtIntM, + output logic TimerInt, SwInt, MExtInt, SExtInt, input logic [31:0] GPIOPinsIn, output logic [31:0] GPIOPinsOut, GPIOPinsEn, input logic UARTSin, @@ -120,11 +120,11 @@ module uncore ( .HREADCLINT, .HRESPCLINT, .HREADYCLINT, .MTIME(MTIME_CLINT), - .TimerIntM, .SwIntM); + .TimerInt, .SwInt); end else begin : clint assign MTIME_CLINT = 0; - assign TimerIntM = 0; assign SwIntM = 0; + assign TimerInt = 0; assign SwInt = 0; end if (`PLIC_SUPPORTED == 1) begin : plic plic plic( @@ -133,10 +133,10 @@ module uncore ( .HWRITE, .HREADY, .HTRANS, .HWDATA, .UARTIntr, .GPIOIntr, .HREADPLIC, .HRESPPLIC, .HREADYPLIC, - .MExtIntM, .SExtIntM); + .MExtInt, .SExtInt); end else begin : plic - assign MExtIntM = 0; - assign SExtIntM = 0; + assign MExtInt = 0; + assign SExtInt = 0; end if (`GPIO_SUPPORTED == 1) begin : gpio gpio gpio( diff --git a/pipelined/src/wally/wallypipelinedcore.sv b/pipelined/src/wally/wallypipelinedcore.sv index 163c18138..dd0440968 100644 --- a/pipelined/src/wally/wallypipelinedcore.sv +++ b/pipelined/src/wally/wallypipelinedcore.sv @@ -34,7 +34,7 @@ module wallypipelinedcore ( input logic clk, reset, // Privileged - input logic TimerIntM, MExtIntM, SExtIntM, SwIntM, + input logic TimerInt, MExtInt, SExtInt, SwInt, input logic [63:0] MTIME_CLINT, // Bus Interface input logic [`AHBW-1:0] HRDATA, @@ -331,7 +331,7 @@ module wallypipelinedcore ( .InstrPageFaultF, .LoadPageFaultM, .StoreAmoPageFaultM, .InstrMisalignedFaultM, .IllegalIEUInstrFaultD, .IllegalFPUInstrD, .LoadMisalignedFaultM, .StoreAmoMisalignedFaultM, - .TimerIntM, .MExtIntM, .SExtIntM, .SwIntM, + .TimerInt, .MExtInt, .SExtInt, .SwInt, .MTIME_CLINT, .IEUAdrM, .SetFflagsM, diff --git a/pipelined/src/wally/wallypipelinedsoc.sv b/pipelined/src/wally/wallypipelinedsoc.sv index f199fe3e3..9633a2512 100644 --- a/pipelined/src/wally/wallypipelinedsoc.sv +++ b/pipelined/src/wally/wallypipelinedsoc.sv @@ -72,9 +72,9 @@ module wallypipelinedsoc ( // logic reset; logic [`AHBW-1:0] HRDATA; // from AHB mux in uncore logic HRESP; - logic TimerIntM, SwIntM; // from CLINT + logic TimerInt, SwInt; // from CLINT logic [63:0] MTIME_CLINT; // from CLINT to CSRs - logic MExtIntM,SExtIntM; // from PLIC + logic MExtInt,SExtInt; // from PLIC logic [2:0] HADDRD; logic [3:0] HSIZED; logic HWRITED; @@ -84,7 +84,7 @@ module wallypipelinedsoc ( // instantiate processor and memories wallypipelinedcore core(.clk, .reset, - .TimerIntM, .MExtIntM, .SExtIntM, .SwIntM, + .TimerInt, .MExtInt, .SExtInt, .SwInt, .MTIME_CLINT, .HRDATA, .HREADY, .HRESP, .HCLK, .HRESETn, .HADDR, .HWDATA, .HWRITE, .HSIZE, .HBURST, .HPROT, .HTRANS, .HMASTLOCK, @@ -94,7 +94,7 @@ module wallypipelinedsoc ( uncore uncore(.HCLK, .HRESETn, .TIMECLK, .HADDR, .HWDATA, .HWRITE, .HSIZE, .HBURST, .HPROT, .HTRANS, .HMASTLOCK, .HRDATAEXT, .HREADYEXT, .HRESPEXT, .HRDATA, .HREADY, .HRESP, .HADDRD, .HSIZED, .HWRITED, - .TimerIntM, .SwIntM, .MExtIntM, .SExtIntM, .GPIOPinsIn, .GPIOPinsOut, .GPIOPinsEn, .UARTSin, .UARTSout, .MTIME_CLINT, + .TimerInt, .SwInt, .MExtInt, .SExtInt, .GPIOPinsIn, .GPIOPinsOut, .GPIOPinsEn, .UARTSin, .UARTSout, .MTIME_CLINT, .HSELEXT, .SDCCmdOut, .SDCCmdOE, .SDCCmdIn, .SDCDatIn, .SDCCLK diff --git a/pipelined/testbench/testbench-linux.sv b/pipelined/testbench/testbench-linux.sv index 60aec7d5d..81f8f2fa4 100644 --- a/pipelined/testbench/testbench-linux.sv +++ b/pipelined/testbench/testbench-linux.sv @@ -140,9 +140,9 @@ module testbench; `define PRIV_BASE dut.core.priv.priv `define PRIV `PRIV_BASE.privmodereg.q `define CSR_BASE `PRIV_BASE.csr - `define MEIP `PRIV_BASE.MExtIntM - `define SEIP `PRIV_BASE.SExtIntM - `define MTIP `PRIV_BASE.TimerIntM + `define MEIP `PRIV_BASE.MExtInt + `define SEIP `PRIV_BASE.SExtInt + `define MTIP `PRIV_BASE.TimerInt `define HPMCOUNTER `CSR_BASE.counters.counters.HPMCOUNTER_REGW `define MEDELEG `CSR_BASE.csrm.deleg.MEDELEGreg.q `define MIDELEG `CSR_BASE.csrm.deleg.MIDELEGreg.q