From 058b265d1856d1c38bb96d7ce537de3e22f09970 Mon Sep 17 00:00:00 2001 From: "James E. Stine" Date: Tue, 18 May 2021 16:01:53 -0500 Subject: [PATCH] Update rv64icfd batch script --- .../regression/sim-wally-batch-rv64icfd | 3 ++ .../wally-pipelined-batch-rv64icfd.do | 42 +++++++++++++++++++ 2 files changed, 45 insertions(+) create mode 100755 wally-pipelined/regression/sim-wally-batch-rv64icfd create mode 100644 wally-pipelined/regression/wally-pipelined-batch-rv64icfd.do diff --git a/wally-pipelined/regression/sim-wally-batch-rv64icfd b/wally-pipelined/regression/sim-wally-batch-rv64icfd new file mode 100755 index 000000000..2d550091e --- /dev/null +++ b/wally-pipelined/regression/sim-wally-batch-rv64icfd @@ -0,0 +1,3 @@ +vsim -c <" prompt: +# do wally-pipelined-batch.do +# or, to run from a shell, type the following at the shell prompt: +# vsim -do wally-pipelined-batch.do -c +# (omit the "-c" to see the GUI while running from the shell) + +onbreak {resume} + +# create library +if [file exists work_$2] { + vdel -lib work_$2 -all +} +vlib work_$2 + +# compile source files +# suppress spurious warnngs about +# "Extra checking for conflicts with always_comb done at vopt time" +# because vsim will run vopt + +# default to config/rv64ic, but allow this to be overridden at the command line. For example: +switch $argc { + 0 {vlog +incdir+../config/rv64icfd ../testbench/testbench-imperas.sv ../src/*/*.sv -suppress 2583} + 1 {vlog +incdir+$1 ../testbench/testbench-imperas.sv ../src/*/*.sv -suppress 2583} + 2 {vlog -work work_$2 +incdir+$1 ../testbench/testbench-imperas.sv ../src/*/*.sv -suppress 2583} +} +# start and run simulation +# remove +acc flag for faster sim during regressions if there is no need to access internal signals +vopt work_$2.testbench -work work_$2 -o workopt_$2 +vsim -lib work_$2 workopt_$2 + +run -all +quit