cvw/pipelined/src/cache/cacheway.sv

148 lines
6.7 KiB
Systemverilog
Raw Normal View History

///////////////////////////////////////////
2022-02-03 15:36:11 +00:00
// cacheway
//
// Written: ross1728@gmail.com July 07, 2021
// Implements the data, tag, valid, dirty, and replacement bits.
//
// Purpose: Storage and read/write access to data cache data, tag valid, dirty, and replacement.
//
// A component of the Wally configurable RISC-V project.
//
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
//
// MIT LICENSE
// Permission is hereby granted, free of charge, to any person obtaining a copy of this
// software and associated documentation files (the "Software"), to deal in the Software
// without restriction, including without limitation the rights to use, copy, modify, merge,
// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons
// to whom the Software is furnished to do so, subject to the following conditions:
//
// The above copyright notice and this permission notice shall be included in all copies or
// substantial portions of the Software.
//
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED,
// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR
// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE
// OR OTHER DEALINGS IN THE SOFTWARE.
////////////////////////////////////////////////////////////////////////////////////////////////
`include "wally-config.vh"
2022-01-05 04:08:18 +00:00
module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26,
2022-02-03 16:33:01 +00:00
parameter OFFSETLEN = 5, parameter INDEXLEN = 9, parameter DIRTY_BITS = 1) (
input logic clk,
input logic reset,
input logic [$clog2(NUMLINES)-1:0] RAdr,
input logic [`PA_BITS-1:0] PAdr,
2022-02-10 16:43:37 +00:00
input logic WriteWordWayEn,
input logic WriteLineWayEn,
input logic [LINELEN-1:0] CacheWriteData,
input logic SetValid,
input logic ClearValid,
input logic SetDirty,
input logic ClearDirty,
input logic SelEvict,
input logic Victim,
input logic InvalidateAll,
input logic SelFlush,
input logic Flush,
output logic [LINELEN-1:0] SelectedReadDataLine,
output logic WayHit,
output logic VictimDirty,
output logic [TAGLEN-1:0] VictimTag);
2022-02-08 23:52:09 +00:00
localparam WORDSPERLINE = LINELEN/`XLEN;
localparam LOGWPL = $clog2(WORDSPERLINE);
localparam LOGXLENBYTES = $clog2(`XLEN/8);
2021-12-30 15:18:16 +00:00
logic [NUMLINES-1:0] ValidBits;
logic [NUMLINES-1:0] DirtyBits;
2022-02-04 20:18:10 +00:00
logic [LINELEN-1:0] ReadDataLine;
logic [TAGLEN-1:0] ReadTag;
logic Valid;
logic Dirty;
2022-02-03 16:52:22 +00:00
logic SelData;
logic SelTag;
2021-12-30 15:18:16 +00:00
logic [$clog2(NUMLINES)-1:0] RAdrD;
logic SetValidD, ClearValidD;
logic SetDirtyD, ClearDirtyD;
2022-02-08 23:52:09 +00:00
logic [2**LOGWPL-1:0] MemPAdrDecoded;
logic [LINELEN/`XLEN-1:0] SelectedWriteWordEn;
/////////////////////////////////////////////////////////////////////////////////////////////
// Write Enable demux
/////////////////////////////////////////////////////////////////////////////////////////////
onehotdecoder #(LOGWPL) adrdec(
.bin(PAdr[LOGWPL+LOGXLENBYTES-1:LOGXLENBYTES]), .decoded(MemPAdrDecoded));
// If writing the whole line set all write enables to 1, else only set the correct word.
2022-02-10 16:43:37 +00:00
assign SelectedWriteWordEn = WriteLineWayEn ? '1 : WriteWordWayEn ? MemPAdrDecoded : '0; // OR-AND
2022-02-03 16:00:57 +00:00
/////////////////////////////////////////////////////////////////////////////////////////////
2022-02-03 16:52:22 +00:00
// Tag Array
2022-02-03 16:00:57 +00:00
/////////////////////////////////////////////////////////////////////////////////////////////
2022-02-10 16:43:37 +00:00
sram1p1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) CacheTagMem(.clk,
.Adr(RAdr), .ReadData(ReadTag),
.CacheWriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(WriteLineWayEn));
2022-02-03 16:52:22 +00:00
// AND portion of distributed tag multiplexer
assign SelTag = SelFlush ? Flush : Victim;
assign VictimTag = SelTag ? ReadTag : '0; // AND part of AOMux
assign VictimDirty = SelTag & Dirty & Valid;
2022-02-03 16:52:22 +00:00
/////////////////////////////////////////////////////////////////////////////////////////////
// Data Array
/////////////////////////////////////////////////////////////////////////////////////////////
// *** Potential optimization: if byte write enables are available, could remove subwordwrites
2021-12-30 15:18:16 +00:00
genvar words;
2022-01-05 16:25:08 +00:00
for(words = 0; words < LINELEN/`XLEN; words++) begin: word
2022-02-10 16:43:37 +00:00
sram1p1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk, .Adr(RAdr),
2022-02-04 20:18:10 +00:00
.ReadData(ReadDataLine[(words+1)*`XLEN-1:words*`XLEN] ),
2022-02-10 16:43:37 +00:00
.CacheWriteData(CacheWriteData[(words+1)*`XLEN-1:words*`XLEN]),
2022-02-08 23:52:09 +00:00
.WriteEnable(SelectedWriteWordEn[words]));
2022-01-05 16:25:08 +00:00
end
2022-02-03 16:52:22 +00:00
// AND portion of distributed read multiplexers
assign WayHit = Valid & (ReadTag == PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]);
2022-02-10 01:36:21 +00:00
mux3 #(1) selecteddatamux(WayHit, Victim, Flush, {SelFlush, SelEvict}, SelData);
2022-02-03 16:52:22 +00:00
assign SelectedReadDataLine = SelData ? ReadDataLine : '0; // AND part of AO mux.
2022-02-03 16:33:01 +00:00
2022-02-03 16:00:57 +00:00
/////////////////////////////////////////////////////////////////////////////////////////////
// Valid Bits
/////////////////////////////////////////////////////////////////////////////////////////////
2022-02-03 16:00:57 +00:00
always_ff @(posedge clk) begin // Valid bit array,
if (reset | InvalidateAll) ValidBits <= #1 '0;
else if (SetValid) ValidBits[RAdr] <= #1 1'b1;
else if (ClearValid) ValidBits[RAdr] <= #1 1'b0;
2022-02-03 16:00:57 +00:00
end
flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD);
2022-02-04 20:18:10 +00:00
assign Valid = ValidBits[RAdrD];
2022-02-03 16:00:57 +00:00
/////////////////////////////////////////////////////////////////////////////////////////////
// Dirty Bits
/////////////////////////////////////////////////////////////////////////////////////////////
2022-01-05 16:25:08 +00:00
// Dirty bits
2022-02-03 16:00:57 +00:00
if (DIRTY_BITS) begin:dirty
2022-01-05 16:25:08 +00:00
always_ff @(posedge clk) begin
2022-02-03 16:00:57 +00:00
if (reset) DirtyBits <= #1 {NUMLINES{1'b0}};
else if (SetDirty) DirtyBits[RAdr] <= #1 1'b1;
else if (ClearDirty) DirtyBits[RAdr] <= #1 1'b0;
end
2022-02-03 16:00:57 +00:00
flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD});
2022-02-04 20:18:10 +00:00
assign Dirty = DirtyBits[RAdrD];
2022-02-03 16:07:55 +00:00
end else assign Dirty = 1'b0;
2022-02-03 16:33:01 +00:00
endmodule