2022-08-31 19:45:01 +00:00
|
|
|
///////////////////////////////////////////
|
|
|
|
// ahbcacheinterface.sv
|
|
|
|
//
|
|
|
|
// Written: Ross Thompson ross1728@gmail.com August 29, 2022
|
|
|
|
// Modified:
|
|
|
|
//
|
|
|
|
// Purpose: Cache/Bus data path.
|
|
|
|
// Bus Side logic
|
|
|
|
// register the fetch data from the next level of memory.
|
|
|
|
// This register should be necessary for timing. There is no register in the uncore or
|
|
|
|
// ahblite controller between the memories and this cache.
|
|
|
|
//
|
|
|
|
// A component of the Wally configurable RISC-V project.
|
|
|
|
//
|
|
|
|
// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University
|
|
|
|
//
|
|
|
|
// MIT LICENSE
|
|
|
|
// Permission is hereby granted, free of charge, to any person obtaining a copy of this
|
|
|
|
// software and associated documentation files (the "Software"), to deal in the Software
|
|
|
|
// without restriction, including without limitation the rights to use, copy, modify, merge,
|
|
|
|
// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons
|
|
|
|
// to whom the Software is furnished to do so, subject to the following conditions:
|
|
|
|
//
|
|
|
|
// The above copyright notice and this permission notice shall be included in all copies or
|
|
|
|
// substantial portions of the Software.
|
|
|
|
//
|
|
|
|
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED,
|
|
|
|
// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR
|
|
|
|
// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
|
|
|
|
// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
|
|
|
|
// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE
|
|
|
|
// OR OTHER DEALINGS IN THE SOFTWARE.
|
|
|
|
////////////////////////////////////////////////////////////////////////////////////////////////
|
|
|
|
|
|
|
|
`include "wally-config.vh"
|
|
|
|
|
2022-11-09 23:52:50 +00:00
|
|
|
module ahbcacheinterface #(parameter BEATSPERLINE, LINELEN, LOGWPL, CACHE_ENABLED)
|
2022-08-31 19:45:01 +00:00
|
|
|
(
|
|
|
|
input logic HCLK, HRESETn,
|
|
|
|
|
|
|
|
// bus interface
|
|
|
|
input logic HREADY,
|
2022-11-11 20:30:32 +00:00
|
|
|
input logic [`AHBW-1:0] HRDATA,
|
2022-08-31 19:45:01 +00:00
|
|
|
output logic [2:0] HSIZE,
|
|
|
|
output logic [2:0] HBURST,
|
|
|
|
output logic [1:0] HTRANS,
|
|
|
|
output logic HWRITE,
|
|
|
|
output logic [`PA_BITS-1:0] HADDR,
|
2022-11-11 20:30:32 +00:00
|
|
|
output logic [`AHBW-1:0] HWDATA,
|
|
|
|
output logic [`AHBW/8-1:0] HWSTRB,
|
2022-11-09 23:52:50 +00:00
|
|
|
output logic [LOGWPL-1:0] BeatCount,
|
2022-08-31 19:45:01 +00:00
|
|
|
|
|
|
|
// cache interface
|
|
|
|
input logic [`PA_BITS-1:0] CacheBusAdr,
|
2022-11-11 20:30:32 +00:00
|
|
|
input logic [`LLEN-1:0] CacheReadDataWordM,
|
|
|
|
input logic [`LLEN-1:0] WriteDataM,
|
|
|
|
input logic CacheableOrFlushCacheM,
|
2022-09-23 16:46:53 +00:00
|
|
|
input logic [1:0] CacheBusRW,
|
2022-08-31 19:45:01 +00:00
|
|
|
output logic CacheBusAck,
|
|
|
|
output logic [LINELEN-1:0] FetchBuffer,
|
2022-10-17 17:34:14 +00:00
|
|
|
input logic Cacheable,
|
2022-08-31 19:45:01 +00:00
|
|
|
|
|
|
|
// lsu/ifu interface
|
2022-11-07 21:03:43 +00:00
|
|
|
input logic Flush,
|
2022-08-31 19:45:01 +00:00
|
|
|
input logic [`PA_BITS-1:0] PAdr,
|
2022-09-23 16:46:53 +00:00
|
|
|
input logic [1:0] BusRW,
|
2022-12-11 21:51:35 +00:00
|
|
|
input logic Stall,
|
2022-08-31 19:45:01 +00:00
|
|
|
input logic [2:0] Funct3,
|
2022-11-09 23:52:50 +00:00
|
|
|
output logic SelBusBeat,
|
2022-08-31 19:45:01 +00:00
|
|
|
output logic BusStall,
|
|
|
|
output logic BusCommitted);
|
|
|
|
|
2022-11-11 20:30:32 +00:00
|
|
|
localparam integer LLENPOVERAHBW = `LLEN / `AHBW; // *** fix me duplciated in lsu.
|
|
|
|
|
2022-11-09 23:52:50 +00:00
|
|
|
localparam integer BeatCountThreshold = CACHE_ENABLED ? BEATSPERLINE - 1 : 0;
|
2022-08-31 19:45:01 +00:00
|
|
|
logic [`PA_BITS-1:0] LocalHADDR;
|
2022-11-09 23:52:50 +00:00
|
|
|
logic [LOGWPL-1:0] BeatCountDelayed;
|
2022-08-31 19:45:01 +00:00
|
|
|
logic CaptureEn;
|
2022-11-11 20:30:32 +00:00
|
|
|
logic [`AHBW-1:0] PreHWDATA;
|
2022-08-31 19:45:01 +00:00
|
|
|
|
|
|
|
genvar index;
|
2022-11-09 23:52:50 +00:00
|
|
|
for (index = 0; index < BEATSPERLINE; index++) begin:fetchbuffer
|
|
|
|
logic [BEATSPERLINE-1:0] CaptureBeat;
|
|
|
|
assign CaptureBeat[index] = CaptureEn & (index == BeatCountDelayed);
|
2022-11-11 20:30:32 +00:00
|
|
|
flopen #(`AHBW) fb(.clk(HCLK), .en(CaptureBeat[index]), .d(HRDATA),
|
|
|
|
.q(FetchBuffer[(index+1)*`AHBW-1:index*`AHBW]));
|
2022-08-31 19:45:01 +00:00
|
|
|
end
|
|
|
|
|
2022-10-17 17:34:14 +00:00
|
|
|
mux2 #(`PA_BITS) localadrmux(PAdr, CacheBusAdr, Cacheable, LocalHADDR);
|
2022-11-11 20:30:32 +00:00
|
|
|
assign HADDR = ({{`PA_BITS-LOGWPL{1'b0}}, BeatCount} << $clog2(`AHBW/8)) + LocalHADDR;
|
|
|
|
|
|
|
|
mux2 #(3) sizemux(.d0(Funct3), .d1(`AHBW == 32 ? 3'b010 : 3'b011), .s(Cacheable), .y(HSIZE));
|
|
|
|
|
|
|
|
// When AHBW is less than LLEN need extra muxes to select the subword from cache's read data.
|
|
|
|
logic [`AHBW-1:0] CacheReadDataWordAHB;
|
|
|
|
if(LLENPOVERAHBW > 1) begin
|
|
|
|
logic [`AHBW-1:0] AHBWordSets [(LLENPOVERAHBW)-1:0];
|
|
|
|
genvar index;
|
|
|
|
for (index = 0; index < LLENPOVERAHBW; index++) begin:readdatalinesetsmux
|
|
|
|
assign AHBWordSets[index] = CacheReadDataWordM[(index*`AHBW)+`AHBW-1: (index*`AHBW)];
|
|
|
|
end
|
|
|
|
assign CacheReadDataWordAHB = AHBWordSets[BeatCount[$clog2(LLENPOVERAHBW)-1:0]];
|
|
|
|
end else assign CacheReadDataWordAHB = CacheReadDataWordM[`AHBW-1:0];
|
|
|
|
mux2 #(`AHBW) HWDATAMux(.d0(CacheReadDataWordAHB), .d1(WriteDataM[`AHBW-1:0]),
|
|
|
|
.s(~(CacheableOrFlushCacheM)), .y(PreHWDATA));
|
|
|
|
flopen #(`AHBW) wdreg(HCLK, HREADY, PreHWDATA, HWDATA); // delay HWDATA by 1 cycle per spec
|
2022-08-31 19:45:01 +00:00
|
|
|
|
2022-11-11 20:30:32 +00:00
|
|
|
// *** bummer need a second byte mask for bus as it is AHBW rather than LLEN.
|
|
|
|
// probably can merge by muxing PAdrM's LLEN/8-1 index bit based on HTRANS being != 0.
|
|
|
|
logic [`AHBW/8-1:0] BusByteMaskM;
|
|
|
|
swbytemask #(`AHBW) busswbytemask(.Size(HSIZE), .Adr(HADDR[$clog2(`AHBW/8)-1:0]), .ByteMask(BusByteMaskM));
|
|
|
|
|
|
|
|
flopen #(`AHBW/8) HWSTRBReg(HCLK, HREADY, BusByteMaskM[`AHBW/8-1:0], HWSTRB);
|
|
|
|
|
2022-08-31 19:45:01 +00:00
|
|
|
|
2023-01-07 17:57:24 +00:00
|
|
|
buscachefsm #(BeatCountThreshold, LOGWPL) AHBBuscachefsm(
|
2022-12-11 21:51:35 +00:00
|
|
|
.HCLK, .HRESETn, .Flush, .BusRW, .Stall, .BusCommitted, .BusStall, .CaptureEn, .SelBusBeat,
|
2022-11-09 23:52:50 +00:00
|
|
|
.CacheBusRW, .CacheBusAck, .BeatCount, .BeatCountDelayed,
|
2022-08-31 19:45:01 +00:00
|
|
|
.HREADY, .HTRANS, .HWRITE, .HBURST);
|
|
|
|
endmodule
|